Articles in journals with a review system 2024
- M. Moors, K.Yu. Monakhov,
Capacitor or memristor – Janus behavior of polyoxometalates,
ACS Appl. Electron. Mater. 6 (2024) 8552-8557, DOI: 10.1021/acsaelm.3c01751
- M. Moors, K.Y. Monakhov,
Multistate switchable polyoxometalates as neuromimetic emulators,
81 (2024) 1-3, DOI: 10.1016/j.mattod.2024.10.007
- E. Rohkamm, D. Spemann, F. Scholze, F. Frost,
Influence of the RF-power on the etching yields and surface morphology in reactive ion beam etching of Si and photoresist,
J. Appl. Phys. 136 (2024) 193302, DOI: 10.1063/5.0233226, Open Access: ja
- E. Gärtner, F. Schell, L. Nitschke, R. C. Okafor, C. Zwahr, C. Hackl,
Replicative production of multifunctional microfluidic polymer films for biomedical disposables,
Proc. CIRP 125 (2024) 207-212, DOI: 10.1016/j.procir.2024.08.036, Open Access: ja
- F. Yang, G. Kalandia, M. Moors, J. Lorenz, M. Rohdenburg, X.-B. Wang, W. Cao, M.A. Moussawi, D. Volke, R. Hoffmann, J. Warneke, T.N. Parac-Vogt, K.Yu. Monakhov,
Ligand substituent effects on the electronic properties of lindqvist-type polyoxometalate multi-level-switches in the gas phase, solution and on surfaces,
Adv. Mater Interfaces 11 (2024) 2400411, DOI: 10.1002/admi.202400411
- A.V. Stronski, K.V. Shportko, H.K. Kochube, M.V. Popovych, A.A. Lotnyk,
X-ray diffraction and Raman spectroscopy studies of Ga-Ge-Te alloys,
Semicon. Phys. Quantum Electron. Optoelectron. 4 (2024) 404-411, DOI: 10.15407/spqeo27.04.404, Open Access: ja
- A.M. Alsharabasy, D. Cherukaraveedu, J. Warneke, Z. Warneke, J.R. Galán-Mascarós, S.A. Glynn, P. Farràs, A. Pandit,
Facile synthesis of hemin derivatives with modulated aggregation behaviour and enhanced nitric‐oxide scavenging properties as new therapeutics for breast cancer,
Small Sci. 4 (2024) 2400237, DOI:10.1002/smsc.202400237, Open Access: ja
- T. Oiffer, F. Leipold, P. Süss, D. Breite, J. Griebel, M. Khurram, Y. Branson, E. de Vries, A. Schulze, C.A. Helm, R. Wei, U. Bornscheuer,
Chemo-enzymatic depolymerization of functionalized low-molecular-weight polyethylene,
Angew. Chemie Int. Ed. 63 (2024) e202415012, DOI: 10.1002/anie.202415012 Open Access: ja
- A. Anders,
Glows, arcs, ohmic discharges: An electrode-centered review on discharge modes and the transitions between them,
Appl. Phys. Rev.11 (2024) 031310, DOI: 10.1063/5.0205274, Open Access: ja
- C. Jenne, H. Knorke, M.C. Nierstenhöfer, J. Warneke, Z. Warneke,
Derivatization of undecahalogenated closo-dodecaborates [B12X11NH3]- (X = F-I): attaching isocyanate, amidinium, and formamide functionalities,
Inorg. Chem. 63 (2024) 19227-19239, DOI: 10.1021/acs.inorgchem.4c02855
- R. Heinke, T. Arnold, M. Ehrhardt, P. Lorenz, K. Zimmer,
Simultaneous atmospheric pressure plasma jet etching and laser irradiation for ultra-precise optical glass processing,
EPJ Web Conf. 309 (2024) 03022, DOI: 10.1051/epjconf/202430903022, Open Access: ja
- S. Frank, W. Zhang, D. Thelemann, O. Elies, T. Arnold, J. Bliedtner,
Enhanced imaging of subsurface damage in optical glass SF6 with optical coherence tomography using KOH wet etching,
EPJ Web Conf. 309 (2024) 03010, DOI: 10.1051/epjconf/202430903010, Open Access: ja
- M. Ehrhardt, P. Lorenz, J. Zajadacz, R. Heinke, T. Arnold, K. Zimmer,
Transfer of micron pattern with reactive atmospheric plasma jets into fused silica,
Appl. Surf. Sci. Adv. 23 (2024) 100636, DOI: 10.1016/j.apsadv.2024.100636, Open Access: ja
- M. Martinez-Calderon, B. Groussin, V. Bjelland, E. Chevallay, M. Himmerlich, P. Lorenz, B. Marsh, H. Neupert, R. Rossel, W. Wuensch, E. Granados,
Performance of laser patterned copper plasmonic photocathodes,
J. Phys.: Conf. Ser. 2687 (2024) 032033, DOI: 10.1088/1742-6596/2687/3/032033, Open Access: ja
- A. Bernhardt, P. Lorenz, K. Fischer, M. Schmidt, M. Kühnert, A. Lotnyk, J. Griebel, N. Schönherr, K. Zimmer, A. Schulze,
Laser-crystallization of TiO2 nanotubes for photocatalysis: influence of laser power and speed,
Laser Photonics Rev. 18 (2024) 2300778, DOI: 10.1002/lpor.202300778, Open Access: ja
- E. Reisz, S. Naumov,
The reaction of ozone with the C‒H bond revisited,
Ozone Sci. Eng. 46 (2024) 377-391, DOI: 10.1080/01919512.2024.2314603
- M.R. Baklanov, A.A. Gismatulin, S. Naumov, T.V. Perevalov, V.A. Gritsenko, A.S. Vishnevskiy, T.V. Rakhimova, K.A. Vorotilov,
Comprehensive review on the impact of chemical composition, plasma treatment, and vacuum ultraviolet (VUV) irradiation on the electrical properties of organosilicate films,
Polymers 16 (2024) 2230, DOI: 10.3390/polym16152230, Open Access: ja
- E. Vogelsberg, J. Griebel, I. Engelmann, J. Bauer, F. Taube, B. Corzilius, S. Zahn, A. Kahnt, K.Yu. Monakhov,
Reversible optical switching of polyoxovanadates and their communication via photoexcited states,
Adv. Sci. 11 (2024) 2401595, DOI: 10.1002/advs.202401595, Open Access: ja
- A.A. Al-Romema, F. Plass, A. V. Nizovtsev, A. Kahnt, S.B. Tsogoeva,
Synthesis and photo/radiation chemical characterization of a new redox-stable pyridine-triazole ligand,
ChemPhysChem 25 (2024) e202400273, DOI: 10.1002/cphc.202400273, Open Access: ja
- S. Frank, M. Reichenbächer, M. Seiler, D. Thelemann, T. Arnold, J. Bliedtner,
Revealing subsurface damage morphology and patterns in areal ultrashort pulse laser machining of glass,
Lasers Manuf. Mater. Process. 11 (2024) 631-648, DOI: 10.1007/s40516-024-00262-9, Open Access: ja
- M. Rohdenburg, S. Kawa, M. Ha-Shan, M. Reichelt, H. Knorke, R. Denecke, J. Warneke,
Probing fragment ion reactivity towards functional groups on coordination polymer surfaces,
Chem. Commun. 60 (2024) 10306-10309, DOI: 10.1039/D4CC00767K, Open Access: ja
- V.A. Azov, J. Warneke, Z. Warneke, M. Zeller, L. Twigge,
Calix[4]arene with a stiff upper rim bridge: spontaneous macrocyclization, structure, and dynamic behaviour,
New J. Chem. 48 (2024) 12246-12253, DOI: 10.1039/D4NJ01698J, Open Access: ja
- J. Denk, X. Liao, W. Knolle, A. Kahnt, A. Greiner, S. Schafföner, S. Agarwal, G. Motz,
Novel multifibrillar carbon and oxidation-stable carbon/ceramic hybrid fibers consisting of thousands of individual nanofibers with high tensile strength,
Sci. Rep. 14 (2024) 18143, DOI: 10.1038/s41598-024-68794-w, Open Access: ja
- R. Heinke, T. Arnold, M. Ehrhardt, P. Lorenz, K. Zimmer,
Influence of fluence and pulse number on laser-cleaning of atmospheric pressure plasma jet etched optical glasses,
phys. stat. sol. (a) 221 (2024) 2300485, DOI: 10.1002/pssa.202300485, Open Access: ja
- D. Kalanov, J.W. Gerlach, C. Bundesmann, J. Bauer, A. Lotnyk, H. von Wenckstern, A. Anders, Y. Unutulmazsoy,
Heteroepitaxial growth of Ga2O3 thin films on Al2O3(0001) by ion beam sputter deposition,
J. Appl. Phys. 136 (2024) 015302, DOI: 10.1063/5.0211179, Open Access: ja
- J. Körber, J. Heiler, P. Fuchs, P. Flad, E. Hesselmeier, P. Kuna, J. Ul-Hassan, W. Knolle, C. Becher, F. Kaiser, J. Wrachtrup,
Fluorescence enhancement of single V2 centers in a 4H-SiC cavity antenna,
Nano Lett. 24 (2024) 9289-9295, DOI: 10.1021/acs.nanolett.4c02162
- E. Lehner, A. Liebau, M. Menzel, C.E.H. Schmelzer, W. Knolle, J. Scheffler, W.H. Binder, S.K. Plontke, K. Mäder,
Characterization of PLGA versus PEG-PLGA intracochlear drug delivery implants: Degradation kinetics, morphological changes, and pH alterations,
J. Drug Deliv. Sci. Technol. 99 (2024) 105972, DOI: 10.1016/j.jddst.2024.105972, Open Access: ja
- P. Lorenz, J. Zajadacz, L. Streisel, M. Ehrhardt, R. Morgenstern, T. Lampke, G. Hommes, S. Peter, K. Zimmer,
Mechanical abrasion of laser-machined highly hydrophobic stainless steel surface depending on surface topography,
phys. stat. sol. (a) 221 (2024), 2300482, DOI: 10.1002/pssa.202300482, Open Access: ja
- P. Lorenz, J. Zajadacz, A. Lotnyk, J.W. Gerlach, M. Ehrhardt, R. Kirchner, K. Zimmer,
Fabrication of plasmonic microcubes by laser ablation of Au nanoparticles loaded acrylate,
phys. stat. sol. (a) 221 (2024) 2300481, DOI: 10.1002/pssa.202300481, Open Access: ja
- K.Yu. Monakhov,
Commentary on the role of polyoxometalates in nature cybernetic loop,
Nat. Sci. 4 (2024) e20230020, DOI: 10.1002/ntls.20230020, Open Access: ja
- S.K. Petrovskii, M. Moors, D. Fuhrmann, J. Lorenz, K.Yu. Monakhov,
Using coordination chemistry to control “Click” reactions: the selective formation of asymmetrically ligated polyoxometalates,
Inorg. Chem. 63 (2024) 13785–13792, DOI: 10.1021/acs.inorgchem.4c02209, Open Access: ja
- H.Y. Samayoa-Oviedo, H. Knorke, J. Warneke, J. Laskin,
Spontaneous ligand loss by soft landed [Ni(bpy)3]2+ ions on perfluorinated self-assembled monolayer surfaces,
Chem. Sci. 15 (2024) 10770-10783, DOI: 10.1039/D4SC02527J, Open Access: ja
- P.C. With, T. Pröhl, J.W. Gerlach, A. Prager, A. Konrad, F. Arena, U. Helmstedt,
Hydrogen permeation through uniaxially strained SiOx barrier thin films photochemically prepared on PET foil substrates,
Int. J. Hydrog. Energy 81 (2024) 405-410, DOI: 10.1016/j.ijhydene.2024.07.249 K. Barynova, M. Rudolph, S.S. Babu, J. Fischer, D. Lundin, M.A. Raadu, N. Brenning, J.T. Gudmundsson
On working gas rarefaction in high power impulse magnetron sputtering
Plasma Sources Sci. Technol. 33 (2024) 065010, DOI: 10.1088/1361-6595/ad53fe, Open Access: jaS.S. Babu, J. Fischer, K. Barynova, M. Rudolph, D. Lundin, J.T. Gudmundsson
High power impulse magnetron sputtering of a zirconium target
J. Vac. Sci. Technol. A 42 (2024) 043007, DOI: 10.1116/6.0003647, Open Access: jaD. Manova, S. Mändl, A. Dalke, H. Biermann
Surface segregation phenomena encountered during solid carbon active screen plasma nitrocarburizing of AISI 316L
Surf. Coat. Technol. 489 (2024) 131091, DOI: 10.1016/j.surfcoat.2024.131091, Open Access: jaM. Hayn, T. John, J. Bandak, L. Rauch-Wirth, B. Abel, J. Münch
Hybrid materials from peptide nanofibrils and magnetic beads to concentrate and isolate virus particles
Adv. Funct. Mater. 34 (2024) 2316260, DOI: 10.1002/adfm.202316260, Open Access: jaO. Daikos, T. Scherzer
Monitoring of the homogeneity of primer layers for ink jet printing on polyester fabrics by hyperspectral imaging
Polymers 16 (2024) 1909, DOI: 10.3390/polym16131909, Open Access: ja- A. He, J. Zhu, G. Wang, A. Lotnyk, S. Cremer, Y. Chen, X. Shen
Development of Sb phase change thin films with high thermal stability and low resistance drift by alloying with Se
Appl. Phys. Lett. 124 (2024) 221602, DOI: 10.1063/5.0207259
- L. Voss, N.A. Gaida, A-L Hansen, M. Etter, N. Wolff, V. Duppel, A. Lotnyk, W. Bensch, H. Ebert, S. Mankovsky, S. Polesya, S. Bhat, R. Farla, M. Hasegawa, T. Sasaki, K. Niwa, L. Kienle
Synthesis and in-depth structure determination of a novel metastable high-pressure CrTe3 phase
J. Appl. Cryst. 57 (2024) 755769, DOI: 10.1107/S1600576724002711, Open Access: ja
- N. Wolff, G. Schönweger, I. Streicher, M.R. Islam, N. Braun, P. StraHák, L. Kirste, M. Prescher, A. Lotnyk, H. Kohlstedt, S. Leone, L. Kienle, S. Fichtner
Demonstration and STEM analysis of ferroelectric switching in MOCVD-grown single crystalline Al0.85Sc0.15N
Adv. Physics. Res. 3 (2024) 2300113, DOI: 10.1002/apxr.202300113, Open Access: ja
- J. Heiler, J. Körber, E. Hesselmeier, P. Kuna, R. Stöhr, P. Fuchs, M. Ghezellou, J. Ul-Hassan, W. Knolle, C. Becher, F. Kaiser, J. Wrachtrup
Spectral stability of V2-centres in sub-micron 4H-SiC membranes
npj Quantum Mater. 9 (2024) 34, DOI: 10.1038/s41535-024-00644-4, Open Access: ja
- M. Napoleoni, L. Hortal Sánchez, N. Khawaja, B. Abel, C.R. Glein, J.K. Hillier, F. Postberg
Probing the oxidation state of ocean worlds with SUDA: Fe (ii) and Fe (iii) in ice grains
Planet. Sci. J. 5 (2024) 95, DOI: 10.3847/PSJ/ad2462
- S. Mändl, D. Manova
Comparison of Nitriding Behavior for Austenitic Stainless Steel 316Ti and Super Austenitic Stainless Steel 904L
Metals 14 (2024) 659. DOI: 10.3390/met14060659, Open Access: ja
- A. Bublikova, F. Schütte, S.G. Mayr
Programming fibril alignment and mechanical response in reconstituted collagen fibers using reagent-free biomimetic energetic electron crosslinking, Mater. Adv. 5 (2024) 4807-4817
DOI: 10.1039/D3MA01111A, Open Access: ja
- E. Rohkamm, D. Spemann, F. Scholze, F. Frost
Characterization of an RF-excited broad beam ion source operated with a mixture of CHF3 and O2
J. Appl. Phys. 135 (2024) 223303, DOI: 10.1063/5.0203290, Open Access: ja - A. Spesyvyi, J. Žabka, M. Polášek, M. Malečková, N. Khawaja, J. Schmidt, S. Kempf, F. Postberg, A. Charvat, B. Abel,
Selected ice nanoparticle accelerator hypervelocity impact mass spectrometer (SELINA-HIMS): features and impacts of charged particles,
Philos. Trans. A Math. Phys. Eng. Sci. 382 (2024) 20230208, DOI: 10.1098/rsta.2023.0208
- A.N. Ryabinkin, A.S. Vishnevskiy, S. Naumov, A.O. Serov, K.I. Maslakov, D.S. Seregin, D.A. Vorotyntsev, A.F. Pal, T.V. Rakhimova, K.A. Vorotilov, M.R. Baklanov,
Challenges in scaling of IPVD deposited Ta barriers on OSG low-k films: Carbonization of Ta by CHx radicals generated through VUV-induced decomposition of carbon-containing groups,
Plasma Process Polym. 21 (2024) 2300206, DOI: 10.1002/ppap.202300206, Open Access: ja
- E. Hesselmeier, P. Kuna, W. Knolle, F. Kaiser, N.T. Son, M. Ghezellou, J. Ul-Hassan, V. Vorobyov, J. Wrachtrup,
High-fidelity optical readout of a nuclear-spin qubit in silicon carbide,
Phys. Rev. Lett. 132 (2024) 180804, DOI: 10.1103/PhysRevLett.132.180804
- M. Martinez-Calderon, B. Groussin, V. Bjelland, E. Chevallay, V.N. Fedosseev, M. Himmerlich, P. Lorenz, A. Manjavacas, B.A. Marsh, H. Neupert, R.E. Rossel, W. Wuensch, E. Granados,
Hot electron enhanced photoemission from laser fabricated plasmonic photocathodes,
Nanophotonics 13 (2024) 1975-1983, DOI: 10.1515/nanoph-2023-0552, Open Access: ja
- S. Kawa, J. Kaur, H. Knorke, Z. Warneke, M. Wadsack, M. Rohdenburg, M. Nierstenhöfer, C. Jenne, H. Kenntämaa, J. Warneke,
Generation and reactivity of the fragment ion [B12I8S(CN)]- in the gas phase and on surfaces,
Analyst 149 (2024) 2573-2585, DOI: 10.1039/D3AN02175K, Open Access: ja
- T. Wanek, M. Raabe, Md.N.A. Alam, T. Filip, J. Stanek, M. Loebsch, C. Laube, S. Mairinger, T. Weil, C. Kuntner,
Functionalization of 68Ga-radiolabeled nanodiamonds with octreotide does not improve tumor-targeting capabilities,
Pharmaceuticals 17 (2024) 514, DOI: 10.3390/ph17040514, Open Access: ja
- T. Wu, G. Wang, A. Lotnyk, A. He, X. Shen, Y. Chen,
Interface-controlled growth-dominated crystallization enables ultralow resistance drift in GeTe/Sb2Te3 phase-change heterostructure thin films,
J. Alloys Comp. 995 (2024) 174832, DOI: 10.1016/j.jallcom.2024.174832
- T. Wu, G. Wang, A. Lotnyk, J. Zhu, Y. Jiao, X. Shen,
Development of Sb2Se3 alloys by Ti-doping with ultralow resistance drift and improved microstructure for nonvolatile memory applications,
Appl. Phys. Lett. 123 (2023) 073102, DOI: 10.1063/5.0160884
- W. Cao, J. Warneke, X.-B. Wang,
Probing the electronic structure of [B10H10]2- dianion encapsulated by an octamethylcalix[4]pyrrole molecule,
J. Phys. Chem. A 128 (2024) 3361-3369, DOI: 10.1021/acs.jpca.4c01736
- S. Glass, M. Schmidt, P. Merten, A. Abdul Latif, K. Fischer, A. Schulze, P. Friederich, V. Filiz,
Design of modified polymer membranes using machine learning,
ACS Appl. Mater. Interfaces 16 (2024) 20990-21000, DOI: 10.1021/acsami.3c18805, Open Access: ja
- C. Laube, F. Yang, M. Naumann, J. Griebel, A. Prager, A. Lotnyk, P. Lorenz, K. Zimmer, U. Helmstedt, B. Abel,
Nanodiamond-polymer composites for decreased laser ablation thresholds,
ACS Appl. Nano Mater. 7 (2024) 8842-8853, DOI: 10.1021/acsanm.4c00337
- C. Laube, I. Zwanck, M. Hanisch, L. Langguth, R. Staacke, R. Konieczny, A. Prager, J. Griebel, S. Zahn, W. Knolle,
Radiation chemistry-based molecule grafting: a fast track to diamond-based hybrid sensors,
Chem. Mater. 36 (2024) 4368-4378, DOI: 10.1021/acs.chemmater.3c03295
- M. Seiß, J. Lorenz, S. Schmitz, M. Moors, M. Börner, K.Yu. Monakhov,
Synthesis and structures of cobalt-expanded zirconium- and cerium-oxo clusters as precursors for mixed-metal oxide thin films,
Dalton Trans. 53 (2024) 8454-8462, DOI: 10.1039/D4DT00328D
- M.P. Gund, J. Naim, A. Lehmann, M. Hannig, M. Lange, A. Schindler, S. Rupf
Cold atmospheric plasma improves the colonization of titanium with primary human osteoblasts: an in vitro study
Biomedicines 12 (2024) 673, DOI: 10.3390/biomedicines12030673, Open Access: ja
- L. Horsthemke, J. Pogorzelski, D. Stiegekötter, F. Hoffmann, L. Langguth, R. Staacke, C. Laube, W. Knolle, M. Gregor, P. Glösekötter
Excited-state lifetime of NV centers for all-optical magnetic field sensing
Sensors 24 (2024) 2093, DOI: 10.3390/s24072093 , Open Access: ja
- M. Rudolph, W. Diyatmika, O. Rattunde, E. Schuengel, D. Kalanov, J. Patscheider, A. Anders
Generating spokes in direct current magnetron sputtering discharges by an azimuthal strong-to-weak magnetic field strength transition
Plasma Sources Sci. Technol. 33 (2024) 045002, DOI: 10.1088/1361-6595/ad34f7, Open Access: nein
- K.Yu. Monakhov
Oxovanadium electronics for in-memory, neuromorphic, and quantum computing applications
Mater. Horiz. 11 (2024) 1838-1842, DOI: 10.1039/D3MH01926H, Open Access: nein
- D. Reinhardt, T. Lühmann, P. Räcke, J. Heupel, M. Kieschnick, S. Mändl, C. Popov, J. Meijer, R. Wunderlich
Subdiffraction distance measurement of dipolar emitting qubit pairs
ACS Photonics 11 (2024) 1382-1389, DOI: 10.1021/acsphotonics.4c00257, Open Access: ja
- E. Hesselmeier, P. Kuna, I. Takács, V. Ivády, W. Knolle, N.T. Son, M. Ghezellou, J. Ul-Hassan, D. Dasari, F. Kaiser, V. Vorobyov, J. Wrachtrup,
Qudit-based spectroscopy for measurement and control of nuclear-spin qubits in silicon carbide
Phys. Rev. Lett. 132 (2024) 090601, DOI: 10.1103/PhysRevLett.132.090601
- F. Klenner, J. Bönigk, M. Napoleoni, J. Hillier, N. Khawaja, K. Olsson-Francis, M.L. Cable, M.J. Malaska, S. Kempf, B. Abel, F. Postberg,
How to identify cell material in a single ice grain emitted from Enceladus or Europa
Sci. Adv. 10 (2024) eadl0849, DOI: 10.1126/sciadv.adl0849, Open Access: ja
- K. Fischer, A. Abdul Latif, J. Griebel, A. Prager, O. Shayestehpour, S. Zahn, A. Schulze,
Immobilization of Bi2WO6 on Polymer Membranes for Photocatalytic Removal of Micropollutants from Water A Stable and Visible Light Active Alternative
Global Challenges 8 (2024) 2300198, DOI: 10.1002/gch2.202300198, Open Access: ja
- S. Henn, G. Dornberg, A. Müller, C. Bundesmann, F. Frost, C. Sturm, M. Grundmann,
Optical and structural characterization of zinc oxide thin films upon ion beam assisted smoothing
Thin Solid Films 794 (2024) 140290, DOI: 10.1016/j.tsf.2024.140290
- S.K. Petrovskii, E.V. Grachova, K.Yu. Monakhov,
Bioorthogonal chemistry of polyoxometalates challenges and prospects
Chem. Sci. 15 (2024) 4202-4221, DOI: 10.1039/D3SC06284H, Open Access: ja
- R.K. Al-Shewiki, S. Weheabby, N. Uhlig, M. Korb, T. Pester, S. Zahn, S. Grecchi, P.R. Mussini, T. Rüffer, H. Lang
Cobalt(iii)-containing penta-dentate “helmet”-type phthalogens: synthesis, solid-state structures and their thermal and electrochemical characterization
Dalton Trans. 53 (2024) 3836-3854, DOI: 10.1039/D3DT03950A
- S. Cremer, L. Voß, N. Braun, N. Wolff, L. Kienle, A. Lotnyk
Growth and microstructure of GeTe-Sb2Te3 heterostructures prepared by pulsed laser deposition
Appl. Surf. Sci. 655 (2024) 159679, DOI: 10.1016/j.apsusc.2024.159679
- F. Linß, C. Bundesmann, F. Frost
Low-energy ion beam erosion of Si with simultaneous co-deposition of metallic surfactants: Experimental and simulated data
Appl. Surf. Sci. 646 (2024) 158923, DOI: 10.1016/j.apsusc.2023.158923
- P. Lorenz, R. Franz, M. Ehrhardt, G. Lecrivain, R. Kirchner, K. Zimmer
Laser Cutting of Polymer Templates for Water-Droplet Induced Self-Folding of Cubes: Hinge Geometry Optimization
J. Laser Micro Nanoen. 18 (2023) 58-63, DOI: 10.2961/jlmn.2023.02.2001
- A. Lotnyk, T. Dankwort, M. Behrens, L. Voß, S. Cremer, L. Kienle
In situ atomic-scale observation of transformation from disordered to ordered layered structures in Ge-Sb-Te phase change memory thin films
Acta Mater. 266 (2024) 119670, DOI: 10.1016/j.actamat.2024.119670
- K.Yu. Monakhov
Implication of counter-cations for polyoxometalate-based nano-electronics
Comments Inorganic Chem. 44 (2024) 1-10, DOI: 10.1080/02603594.2022.2157409
- M. Moors, I. Werner, J. Bauer, J. Lorenz, K.Yu. Monakhov
Multistate switching of scanning tunnelling microscopy machined polyoxovanadate–dysprosium–phthalocyanine nanopatterns on graphite
Nanoscale Horiz. 9 (2024) 233-237, DOI: 10.1039/D3NH00345K
- Z. Niavarani, D. Breite, M. Yasir, V. Sedlarik, A. Prager, N. Schönherr, B. Abel, R. Gläser, A. Schulze
Removal of endocrine disrupting chemicals from water through urethane functionalization of microfiltration membranes via electron beam irradiation
Front. Environ. Sci. Eng. 18 (2024) 45, DOI: 10.1007/s11783-024-1805-6
- I.V. Ortega, T. Şener Raman, A. Schulze, C. Flors
In situ single-cell bacterial imaging provides mechanistic insight into the photodynamic action of photosensitizer-loaded hydrogels
ACS Appl. Mater. Interfaces 16 (2024) 5677-5682, DOI: 10.1021/acsami.3c17916
- S. Santonocito, A. Denisenko, R. Stöhr, W. Knolle, M. Schreck, M. Markham, J. Isoya, J. Wrachtrup
NV centres by vacancies trapping in irradiated diamond: experiments and modelling
New J. Phys.26 (2024), 013054, DOI: 10.1088/1367-2630/ad2029
- F. Schütte, S.G. Mayr
Electron Beam-Modified Collagen Type I Fibers: Synthesis and Characterization of Mechanical Response
ACS Biomater. Sci. Eng. 10 (2024) 782-790, DOI: 10.1021/acsbiomaterials.3c01072
- G. Wang, F. Meng, Y. Chen, A. Lotnyk, X. Shen
Boosting thermoelectric performance of Bi2Te3 material by microstructure engineering
Adv. Sci. 11 (2024) 2308056, DOI: 10.1002/advs.202308056
- J. Warneke
Gas-phase ion chemistry of [B12X12]2− (X = F, Cl, Br, I, CN). A brief review of twelve years of researching twelve−vertexed dianions and their fragments
Int. J. Mass Spectrom. 496 (2024) 117169, DOI: 10.1016/j.ijms.2023.117169
- F. Yang, R.D. Urban, J. Lorenz, J. Griebel, N. Koohbor, M. Rohdenburg, H. Knorke, D. Fuhrmann, A. Charvat, B. Abel, V.A. Azov, J. Warneke
Control of intermediates and products by combining droplet reactions and ion soft-landing
Angew. Chem. Int. Ed. 63 (2024) e202314784, DOI: 10.1002/anie.202314784
Articles in other journals 2024
- K. Fischer, J. Lohmann, E. Schmidt, T.H. Blaich, C. Belz, I. Thomas, E. Vogelsberg, A. Schulze,
Enhancing biofouling resistance through electron beam grafting of polymer membranes with hydrogels - translating research results into practical applications,
Paper Nr. M 4.1, FILTECH 2024, Köln, Germany, 12.-14.11. (2024) 154, DOI:
- R. Heinke, T. Arnold, M. Ehrhardt, P. Lorenz, K. Zimmer,
Laser-cleaning of atmospheric pressure plasma jet etched Zerodur®,
Proc. SPIE 13221 Eleventh European Seminar on Precision Optics Manufacturing (2024) 1322104, DOI: 10.1117/12.3031846
- H. Müller, W. Zhang, S. Frank, Th. Arnold, J. Bliedtner,
Multiskalige Risscharakterisierung in der Optikfertigung (tigeR),
Proc. F.O.M.-Konferenz 2024, Forschungsvereinigung Feinmechanik, Optik und Medizintechnik e.V., Berlin, Germany, 06.11. (2024)
- D. Breite, M. Went, T. Schreiber, Y. Zhale, M. Kühnert, A. Prager, A. Schulze,
Recycled polycarbonate as source material for membrane preparation via NIPS,
Paper Nr. P 2.2, 19th Aachener Membran Kolloquium, Aachen, Germany, 03.-05.12. (2024) 120-121
- M. Schmidt, A. Prager, A. Abdul Latif, S. Zahn, A. Schulze,
Polymer membrane surface functionalization with proteins and enzymes by electron beam irradiation,
Paper Nr. L 7.2, 19th Aachener Membran Kolloquium, Aachen, Germany, 03.-05.12. (2024) 49-50
- Z. Niavarani, D. Breite, A. Schulze,
Polymer membrane modification using electron beam irradiation for the removal of endocrine disruptors from water,
Paper Nr. L 3.2, 19th Aachener Membran Kolloquium, Aachen, Germany, 03.-05.12. (2024) 19-21
- K. Fischer, Z. Niavarani, A. Abdul Latif, J. Griebel, A. Prager, O. Shayestehpour, S. Zahn, A. Schulze,
Visible-light active and stable Bi2WO6 composite polymer membranes for photocatalytic micropollutant removal,
Paper Nr. L 12.5, 19th Aachener Membran Kolloquium, Aachen, Germany, 03.-05.12. (2024) 85-87
- A. Lotnyk, V. Roddatis, N. Braun, S. Cremer, H. Bryja, L. Voss, L. Kienle,
Atomic-scale imaging of local structure of layered Cu-Te phases,
BIO Web of Conferences 129 (2024) 22040, DOI: 10.1051/bioconf/202412922040, Open Access: ja
- H. Müller, Th. Arnold,
Characterization of manufacturing-induced microcracks in optical components,
Proc. SPIE 13221, Eleventh European Seminar on Precision Optics Manufacturing (2024) 1322105, DOI: 10.1117/12.3031343
- M. Sow, J. Mohnani, R. Klevesath, R.B. Gonzalez, F. Clivaz, Y. Mindarava, R. Blinder, C. Laube, W. Knolle, F. Mahfoud, O. Rezynkin, M.B. Plenio, S.F. Huelga, S. Stenger, F. Jelezko,
Nanoscale temperature and surface potential sensing inside living cells,
Biophys. J. 123 (2024) 288a, DOI: 10.1016/j.bpj.2023.11.1798
- F. Scholze, F. Pietag, H. Adirim, M. Kreil, M. Kron, R. Woyciechowski, D. Spemann,
Xenon neutral gas characterization in a radiofrequency-driven gridded ion source using laser-induced fluorescence spectroscopy,
Paper Nr. IEPC-253, 38th Int. Electric Propulsion Conf., Toulouse, France, 23.-28.06. (2024) , DOI: , Open Access: ja D.A. Rolon, F. Hölzel, J. Kober, S. Kühne, M. Malcher, T.K. Naderi, T. Arnold, D. Oberschmidt
Benchmarking rapidly solidified aluminium alloys for ultra-precision machining of ultra-violet mirrors and diffractive optical elements
Proc. Euspen 24th Int. Conf. & Exhibition, Dublin, Ireland, 10.-14.06. (2024)C. Bundesmann
Insights into ion beam sputter deposition
Proc. Int. Conf. on Coatings on Glass and Plastics, Dresden, Germany, 24.-26.06. (2024)C. Collingwood, D. Feili, H. Leiter, P. Valles, J.P. Arlabosse, C. Eichhorn, F. Scholze, D. Spemann, J. Polk, V. Chaplin, P. Kirch, A. Misdariis, H. Gray
Comparison of the DYNASIM and CEX2D grid erosion simulation codes in the frame of grid lifetime modeling of the RIT-2X thruster for the Mars sample return - Earth return orbiter
Paper Nr. IEPC-725, 38th Int. Electric Propulsion Conf., Toulouse, France, 23.-28.06. (2024)L. Pietzonka, C. Eichhorn, F. Scholze, D. Spemann
Xenon neutral gas characterization in a radiofrequency-driven gridded ion source using laser-induced fluorescence spectroscopy
Paper Nr. IEPC-194, 38th Int. Electric Propulsion Conf., Toulouse, France, 23.-28.06. (2024)- M. Popovych, H. Kochubei, K. Shportko, A. Lotnyk, E. Venger, A. Stronsk
Raman spectroscopy and X-ray diffraction studies of Ga-Ge-Te alloys
Proc. XI-th International Conference: Topical Problems of Semiconductors Physics, Drohobych, Ukraine, 27.-31.05. (2024) 17 - J. Bauer, H. Hosseini, G. Dornberg, F. Frost
Ion-beam-induced nanostructuring on titanium surfaces
Proc. SPIE PC12622, Optical Methods for Inspection, Characterization, and Imaging of Biomaterials VI
- M. Schmidt, A. Abdul Latif, A. Prager, A. Schulze
Modelling of polymer membrane surface functionalization
Proc. Conf. on Artificial Intelligence in Materials Science and Engineering, Saarbrücken, Germany, 22.-23.11. (2023) 83
Aufsätze in Zeitschriften mit Begutachtungssystem 2023
- P. Lorenz, E. Bez, M. Himmerlich, M. Ehrhardt, M. Taborelli, K. Zimmer,
Pulse duration dependence of infrared laser-induced secondary electron yield reduction of copper surfaces,
J. Laser Micro Nanoengin. 18 (2023) 121-126, DOI: 10.2961/jlmn.2023.03.2002 , Open Access: ja
- V.G. Antunes, M. Rudolph, A. Kapran, H. Hajihoseini, M.A. Raadu, N. Brenning, J.T. Gudmundsson, D. Lundin, T. Minea
Influence of the magnetic field on the extension of the ionization region in high power impulse magnetron sputtering discharges
Plasma Sources Sci. Technol. 32 (2023) 075016, DOI: 10.1088/1361-6595/ace847
- S.S. Babu, M. Rudolph, P.J. Ryan, J. Fischer, D. Lundin, J.W. Bradley, J.T. Gudmundsson
High power impulse magnetron sputtering of tungsten: a comparison of experimental and modelling results
Plasma Sources Sci. Technol. 32 (2023) 034003, DOI: 10.1088/1361-6595/acc12f
- A. Banari, K. Graebe, M. Rudolph, E. Mohseni, P. Lorenz, K. Zimmer, R. Hübner, C. Henry, M. Bossy, U. Hampel, G. Lecrivain
Influence of engineered roughness microstructures on adhesion and turbulent resuspension of microparticles
J. Aerosol Sci. 174 (2023) 106258, DOI: 10.1016/j.jaerosci.2023.106258
- C. Bekker, M.J. Arshad, P. Cilibrizzi, C. Nikolatos, P. Lomax, G.S. Wood, R. Cheung, W. Knolle, N. Ross, B. Gerardot, C. Bonato
Scalable fabrication of hemispherical solid immersion lenses in silicon carbide through grayscale hard-mask lithography
Appl. Phys. Lett. 122 (2023) 173507
- E. Bez, M. Himmerlich, P. Lorenz, M. Ehrhardt, A.G. Gunn, S. Pfeiffer, M. Rimoldi, M. Taborelli, K. Zimmer, P. Chiggiato, A. Anders
Influence of wavelength and accumulated fluence at picosecond laser-induced surface roughening of copper on secondary electron yield
J. Appl. Phys. 133 (2023) 035303, DOI: 10.1063/5.0131916
- F. Bia, I. Gualandi, J. Griebel, L. Rasmussen, B. Hallak, D. Tonelli, B. Kersting
Heterobimetallic conducting polymers based on salophen complexes via electrosynthesis
J. Mater. Chem. C 11 (2023) 2957-2969, DOI: 10.1039/D2TC04372F
- N.F.L. Collins, A.M. Jakob, S.G. Robson, S.Q. Lim, P. Räcke, B.C. Johnson, B. Liu, Y. Lu, D. Spemann, J.C. McCallum, D.N. Jamieson Graphene-enhanced single ion detectors for deterministic near-surface dopant implantation in diamond
Adv. Funct. Mater. 33 (2023) 2306601, DOI: 10.1002/adfm.202306601
- M. Dannenmann, F. Klenner, J. Bönigk, M. Pavlista, M. Napoleoni, J. Hillier, N. Khawaja, K. Olsson-Francis, M.L. Cable, M.J. Malaska, B. Abel, F. Postberg
Toward detecting biosignatures of DNA, lipids, and metabolic intermediates from bacteria in ice grains emitted by Enceladus and Europa
Astrobiology 23 (2023) 60-75, DOI: 10.1089/ast.2022.0063
- V. Demiri, M. Ehrhardt, P. Lorenz, R. Heinke, K. Zimmer
Pulse duration dependent laser-induced plasma etching of polyimide using a high repetition rate laser
Appl. Surf. Sci. Adv. 17 (2023) 100450, DOI: 10.1016/j.apsadv.2023.100450
- J. Dietrich, A. Enke, N. Wilharm, R. Konieczny, A. Lotnyk, A. Anders, S.G. Mayr
Energetic electron-assisted synthesis of tailored magnetite (Fe3O4) and maghemite (g-Fe2O3) nanoparticles: structure and magnetic properties
Nanomaterials 13 (2023) 786, DOI: 10.3390/nano13050786
- G. Dornberg, E. Rohkamm, P. Birtel, F. Scholze, F. Frost
Characterization of a broad beam Kaufman-type ion source operated with CHF3 and O2
J. Vac. Sci. Technol. A 41 (2023) 053104, DOI: 10.1116/6.0002766
- L. Escalada, E.L. Dalibon, S.P. Brühl, D. Manova, S. Mändl, S. Simison
Influence of inclusions in the corrosion behaviour of plasma nitrided stainless steel
Adv. Eng. Mater. 25 (2023) 2201112, DOI: 10.1002/adem.202201112
- K. Fischer, J. Lohmann, E. Schmidt, T.H. Blaich, C. Belz, I. Thomas, E. Vogelsberg, A. Schulze
Anti-biofouling membranes via hydrogel electron beam modification - A fundamental and applied study
Colloids Surf. A: Physicochem. Eng. Asp. 675 (2023) 132044, DOI: 10.1016/j.colsurfa.2023.132044
- S. Frank, M. Reichenbächer, M. Seiler, T. Arnold, J. Bliedtner
Investigation on subsurface damage patterns in ultrashort pulse laser machining of glass using optical coherence tomography
EPJ Web Conf. 287 (2023) 05024, DOI: 10.1051/epjconf/202328705024
- R. Garmasukis, C. Hackl, C. Dusny, C. Elsner, A. Charvat, A. Schmid, B. Abel
Cryo-printed microfluidics enable rapid prototyping for optical-cell analysis
Microfluid. Nanofluid. 27 (2023) 5, DOI: 10.1007/s10404-022-02613-4
- M.P. Gund, J. Naim, A. Lehmann, M. Hannig, C. Linsenmann, A. Schindler, S. Rupf
Effects of cold atmospheric plasma pre-treatment of titanium on the biological activity of primary human gingival fibroblasts
Biomedicines 11 (2023) 1185, DOI: 10.3390/biomedicines11041185
- J. Fischer, M. Renner, J.T. Gudmundsson, M. Rudolph, H. Hajihoseini, N. Brenning, D. Lundin
Insights into the copper HiPIMS discharge: deposition rate and ionised flux fraction
Plasma Sources Sci. Technol. 32 (2023) 125006, DOI:10.1088/1361-6595/ad10ef
- M.H. Hatahet, H. Bryja, A. Lotnyk, M. Wagner, B. Abel
Ultra-low loading of iron oxide and platinum on CVD-graphene composites as effective electrode catalysts for solid acid fuel cells
Catalysts 13 (2023) 1154, DOI: 10.3390/catal13081154
- H. Hajihoseini, N. Brenning, M. Rudolph, M.A. Raadu, D. Lundin, J. Fischer, T.M. Minea, J.T. Gudmundsson
Target ion and neutral spread in high power impulse magnetron sputtering
J. Vac. Sci. Technol. 41 (2023), 013002, DOI: 10.1116/6.0002292
- G. von Haugwitz, K. Donnelly, M. Di Filippo, D. Breite, M. Phippard, A. Schulze, R. Wei, M. Baumann, U. T. Bornscheuer
Synthesis of modified poly(vinyl alcohol)s and their degradation using an enzymatic cascade
Angew. Chem. Int. Ed. 62 (2023) e202216962, DOI: 10.1002/anie.202216962
- L. Herrmann, M. Leidenberger, A. Sacramento de Morais, C. Mai, A. Çapci, M. da Cruz Borges Silva, F. Plass, A. Kahnt, D.R.M. Moreira, B. Kappes, S.B. Tsogoeva
Autofluorescent antimalarials by hybridization of artemisinin and coumarin: in vitro/in vivo studies and live-cell imaging
Chem. Sci. 14 (2023) 12941-12952, DOI: 10.1039/D3SC03661H
- A.M. Hossain, M. Ehrhardt, M. Rudolph, P. Lorenz, D. Kalanov, K. Zimmer, A. Anders
Time- and position dependent breakdown volume calculations to explain experimentally observed femtosecond laser-induced plasma properties
ACS Photonics 10 (2023) 1232-1239, DOI: 10.1021/acsphotonics.2c00746
- F. Hölzel, D. Rolón, J. Bauer, J. Kober, S. Kühne, F. Pietag, D. Oberschmidt, T. Arnold
Reactive ion beam smoothing of rapidly solidified aluminum (RSA) 501 surfaces for potential visible and ultraviolet light applications
Surf. Interfaces 38 (2023) 102784, DOI: https://doi.org/10.1016/j.surfin.2023.102784
- Y. Jiao, G. Wang, A. Lotnyk, T. Wu, J. Zhu, A. He
Designing Sb phase change materials by alloying with Ga2S3 towards high thermal stability and low resistance drift by bond reconfigurations
J. Alloys Comp. 953 (2023) 169970, DOI: 10.1016/j.jallcom.2023.169970
- T. John, S. Piantavigna, T.J.A. Dealey, B. Abel, H.J. Risselada, L.L. Martin
Lipid oxidation controls peptide self-assembly near membranes through a surface attraction mechanism
Chem. Sci. 14 (2023) 3730-3741, DOI: 10.1039/D3SC00159H
- S. Kawa, H. Knorke, J. Jin, M. Rohdenburg, K.R. Asmis, R. Tonner-Zech, E. Bernhardt, C. Jenne, M. Finze, J. Warneke
Binding properties of small electrophilic anions [B6X5]− and [B10X9]− (X=Cl, Br, I): activation of small molecules based on π-backbonding
Chem. Eur. J. 29 (2023) e202302247, DOI: 10.1002/chem.202302247
- S. Karimi Aghda, D.M. Holzapfel, D. Music, Y. Unutulmazsoy, S. Mràz, D. Bogdanovski, G. Fidanboy, M. Hans, D. Primetzhofer, A.S. José Méndez A. Anders, J.M. Schneider
Ion kinetic energy-and ion flux-dependent mechanical properties and thermal stability of (Ti,Al)N thin films
Acta Mater. 250 (2023) 118864, DOI: 10.1016/j.actamat.2023.118864
- J. Kober, D. Rolón, F. Hölzel, S. Kühne, D. Oberschmidt, T. Arnold
Micro-embossing of micro-structures in RSA-501 as mold inserts for the replication of micro-lens arrays
Results Eng. 17 (2023) 100793, DOI: 10.1016/j.rineng.2022.100793
- N. König, Y. Godínez-Loyola, F. Yang, C. Laube, M. Laue, P. Lönnecke, C.A. Strassert, E. Hey-Hawkins
Facile modification of phosphole-based aggregation-induced emission luminogens with sulfonyl isocyanates
Chem. Sci. 14 (2023) 2267-2274, DOI: 10.1039/D3SC00308F
- N. König, Y. Godínez-Loyola, H. Weiske, S. Naumov, P. Lönnecke, R. Tonner-Zech, C.A. Strassert, E. Hey-Hawkins
Access to strong thieno[3,2-b]phosphole-based solid-state emitters via manganese(III)-mediated oxidative annulation
Chem. Mater. 35 (2023) 8218–8228, DOI: 10.1021/acs.chemmater.3c01734
- C. Küstner-Wetekam, L. Marder, D. Bloß, C. Honisch, N. Kiefer, C. Richter, S. Rubik, R. Schaf, C. Zindel, M. Förstel, K. Gokhberg, A. Knie, U. Hergenhahn, A. Ehresmann, P. Kolorenc, A. Hans
Experimental quantification of site-specific efficiency of Interatomic Coulombic Decay after inner shell ionization
Commun. Phys. 6 (2023) 50, DOI: 10.1038/s42005-023-01166-4
- C. Laube, R. Temme, A. Prager, J. Griebel, W. Knolle, B. Abel
Fluorescence lifetime control of nitrogen vacancy centers in nanodiamonds for long-term information storage
ACS Nano 17 (2023) 15401-15410, DOI: 10.1021/acsnano.3c00328
- E. Lehner, C. Honeder, W. Knolle, W. Binder, J. Scheffler, S.K. Plontke, A. Liebau, K. Mäder
Towards the optimization of drug delivery to the cochlear apex: Influence of polymer and drug selection in biodegradable intracochlear implants
Int. J. Pharm. 643 (2023) 123268, DOI: 10.1016/j.ijpharm.2023.123268
- H. Li, J. Li, G. Yang, Y. Liu, F. Frost, Y. Hong
Can one series of self-organized nanoripples guide another series of self-organized nanoripples during ion bombardment: from the perspective of power spectral density entropy?
Entropy 25 (2023) 170, DOI: 10.3390/e25010170
- Y. Li, Y. Zhang, Z. Liu, S. Cheng, X. Li, W. Jin, Y. Zhang, Y. Qin, X. Yang, J. Zhang, A. Lotnyk, L. Yuan
All-fiber synapse utilizing phase change materials for information recognition and processing
ACS Photonics 10 (2023) 4160-4168, DOI: 10.1021/acsphotonics.3c00846
- Z. Liu, S. Cheng, Y. Li, X. Li, J. Sun, W. Jin, Y. Zhang, Y. Qin, Y. Zhang, X. Yang, A. Lotnyk, L. Yuan
Fiber-integrated all-optical signal processing device for storage and computing
ACS Photonics 10 (2023) 3531-3540, DOI: 10.1021/acsphotonics.3c00540
- S. Liu, E. Véron, S. Lotfi, K. Fischer, A. Schulze, A. Schäfer
Poly(vinylidene fluoride) membrane with immobilized TiO2 for degradation of steroid hormone micropollutants in a photocatalytic membrane reactor
J. Hazard. Mater. 447 (2023) 13082-13096, DOI: 10.1016/j.jhazmat.2023.130832
- P. Lorenz, J. Zajadacz, J. Bauer, M. Ehrhardt, G. Hommes, S. Peter, K. Zimmer
Chemical stability of superhydrophobic stainless steel surfaces realized by laser-texturing and chemical functionalization
Surf. Interfaces 37 (2023) 102685, DOI: 10.1016/j.surfin.2023.102685
- D. Manova, S. Mändl
Initial phase formation during nitriding of austenitic stainless steel
Surf. Coat. Technol. 456 (2023) 129258, DOI: 10.1016/j.surfcoat.2023.129258
- D. Manova, J.W. Gerlach, S. Mändl
Nitrogen isotope marker experiments in austenitic stainless steel for identification of trapping/detrapping processes at different temperatures
Surf. Coat. Technol. 472 (2023) 129952, DOI: 10.1016/j.surfcoat.2023.129952
- F. Meng, Y. Chen, G. Wang, A. Lotnyk
Thermoelectric properties and microstructure of nanocomposite Sb-GeO2 and Sb–TiO2 thin films
Ceram. Int. 49 (2023) 27682-27687
- R. Meyer, K. Mueller, S. Naumov, F. Bauer, D. Enke
Characterization of polar surface groups on siliceous materials by inverse gas chromatography and the enthalpy-entropy compensation effect
Front. Chem. 11 (2023) 1084046, DOI: 10.3389/fchem.2023.1084046
- A. Mignon, J. Zimmer, C. Gutierrez Cisneros, M. Kühnert, E. Derveaux, O. Daikos, T. Scherzer, P. Adriaensens, A. Schulze
Electron-beam-initiated crosslinking of methacrylated alginate and diacrylated poly(ethylene glycol) hydrogels
Polymers 15 (2023) 4685; DOI: 10.3390/polym15244685
- H. Müller, Th. Arnold
Surface morphology in plasma jet polishing: theoretical description and application
J. Eur. Opt. Soc. Rapid Publ. 19 (2023) 37, DOI: 10.1051/jeos/2023034
- S. Naumov, B. Herzog, B. Abel
Spectra and photorelaxation of tris-bipehnyl-triazine-type UV absorbers: from monomers to nanoparticles
Photochem. Photobiol.Sci. 22 (2023) 2143-2151, DOI: 10.1007/s43630-023-00436-y
- Z. Niavarani, D. Breite, A. Prager, I. Thomas, M. Kuehnert, B. Abel, R. Gläser, A. Schulze
Synthesis of composite imprinted polymer membranes for the selective removal of 17β-estradiol from water
Mater. Chem. Front. 7 (2023) 4460-4472, DOI: 10.1039/D3QM00345K
- Z. Niavarani, D. Breite, B. Ulutaş, A. Prager, Ö. Kantoğlu, B. Abel, R. Gläser, A. Schulze
Enhanced EDC removal from water through electron beam-mediated adsorber particle integration in microfiltration membranes
RSC Adv. 13 (2023) 32928-32938, DOI 10.1039/D3RA06345C
- A. Paul, P.D. Esquinazi, C.I. Zandalazini, A. Setzer, W. Knolle
Magnetic manipulation in Dy/Tb multilayer upon electron-irradiation
J. Magn. Magn. Mater. 565 (2023) 170258, DOI: 10.1016/j.jmmm.2022.170258
- L. Pietzonka, C. Eichhorn, F. Scholze, D. Spemann
Laser induced fluorescence spectroscopy for kinetic temperature measurement of xenon neutrals and ions in the discharge chamber of a radiofrequency ion source
J. Electr. Prop. 2 (2023) 4, DOI: 10.1007/s44205-022-00029-4
- M. Rasadujjaman, J. Zhang, D.A. Spassky, S. Naumov, A.S. Vishnevskiy, K.A. Vorotilov, J. Yan, J. Zhang, M.R. Baklanov
UV-Excited luminescence in porous organosilica films with various organic components
Nanomaterials 13 (2023) 1419, DOI: 10.3390/nano13081419
- M. Rohdenburg, Z. Warneke, H. Knorke, M. Icker, J. Warneke
Chemical synthesis with gaseous molecular ions: harvesting [B12Br11N2]− from a mass spectrometer
Angew. Chemie Int. Ed. 62 (2023) e202308600, DOI: 10.1002/anie.202308600
- F.M. Schumann-Muck, N. Hillig, P.G. Braun, J. Griebel, M. Koethe
Impact of nanoscale coating of stainless steel on Salmonella Enteritidis and Escherichia coli
J. Food Saf. 43 (2023) e13075, DOI: 10.1111/jfs.13075
- T. Şener Raman, M. Kuehnert, O. Daikos, T. Scherzer, C. Krömmelbein, S.G. Mayr, B. Abel, A. Schulze
A study on the material properties of novel PEGDA/gelatin hybrid hydrogels polymerized by electron beam irradiation
Front. Chem. 10 (2023) 1094981, DOI: 10.3389/fchem.2022.1094981
- A.O. Serov, A.N. Ryabinkin, A.S. Vishnevskiy, S. Naumov, A.F. Pal, T.V. Rakhimova, D.S. Seregin, K.A. Vorotilov, M.R. Baklanov
Damage to OSG low-k films during IPVD deposition of the Ta barrier layer
Plasma Process. Polym. 20 (2023) 2200169, DOI: 10.1002/ppap.202200169
- O. Shayestehpour, S. Zahn
Efficient molecular dynamics simulations of deep eutectic solvents with first-principles accuracy using machine learning interatomic potentials
J. Chem. Theory Comput. 16 (2023) 8732-8742, DOI: 10.1021/acs.jctc.3c00944
- S. Soni, I. Werner, M. Aidi, M. Moors, C.L. Mthembu, M. Zharnikov, R.W.A. Havenith, K.Yu. Monakhov, R.C. Chiechi
Influence of polyoxovanadate and phthalocyanine on 4f electron transfer in gold-confined monolayers probed with EGaIn top contacts
ACS Appl. Nano Mater. 6 (2023) 22643-22650, DOI: 10.1021/acsanm.3c05021
- P. Su, Z. Warneke, D. Volke, M.F. Espenship, H. Hu, S. Kawa, K. Kirakci, R. Hoffmann, J. Laskin, C. Wiebeler, J. Warneke
Gas phase reactivity of [Mo6X14]2- dianions (X = Cl - I)
J. Am. Soc. Mass Spectrom. 34 (2023) 161-170, DOI: 10.1021/jasms.2c00243
- Y. Unutulmazsoy, D. Kalanov, K. Oh, S.K. Aghda, J.W. Gerlach, N. Braun, F. Munnik, A. Lotnyk, J .M. Schneider, A. Anders
Toward decoupling the effects of kinetic and potential ion energies: Ion flux dependent structural properties of thin (V,Al)N films deposited by pulsed filtered cathodic arc
J. Vac. Sci. Technol. A 41 (2023) 063106, DOI: 10.1116/6.0002927
- T. Wanek, S. Mairinger, M. Raabe, M.N.A. Alam, T. Filip, J. Stanek, G. Winter, L. Xu, C. Laube, T. Weil, V. Rasche, C. Kuntner
Synthesis, radiolabeling, and preclinical in vivo evaluation of 68Ga-radiolabelled nanodiamonds
Nucl. Med. Biol. (2023) 116–117, 108310, DOI: 10.1016/j.nucmedbio.2022.108310
- I. Werner, J. Griebel, A. Masip-Sánchez, X. López, K. ZaBeski, P. KozBowski, A. Kahnt, M. Boerner, Z, Warneke, J. Warneke, K.Yu. Monakov
Hybrid molecular magnets with lanthanide- and countercation-mediated interfacial electron transfer between phthalocyanine and polyoxovanadate
Inorg. Chem. 62 (2023) 3761-3775, DOI: 10.1021/acs.inorgchem.2c03599
- M. Zühlke, J. Koenig, C. Prüfert, S. Sass, T. Beitz, H.-G. Löhmannsröben, C. Thoben, S. Zimmermann, R.D. Urban, B. Abel
Complex reaction kinetics of a Mannich reaction in droplets under electrospray conditions
Phys. Chem. Chem. Phys. 25 (2023) 11732-11744, DOI: 10.1039/d2cp05392f
Aufsätze in anderen Zeitschriften 2023
- N. Braun, V. Roddatis, A. Mill, S. Cremer, H. Bryja, L. Voss, S. Sun, L. Kienle, W. Zhang, A. Lotnyk
Phase transitions in Cu-Sb2Te3 thin film systems induced by focused ion beam milling
Paper Nr. MS4.P005, Microscopy Conf. 2023, Darmstadt, Germany, 26.02.-02.03. (2023) 218
- S. Cremer, L. Voß, N. Braun, L. Kienle, A. Lotnyk
Microstructure of GeTe-Sb2Te3 heterostructures grown by pulsed laser deposition
Paper Nr. MS4.P004, Microscopy Conf. 2023, Darmstadt, Germany, 26.02.-02.03. (2023) 216
- S. Cremer, L. Voß, N. Braun, L. Kienle, A. Lotnyk
Growth and microstructure of pulsed laser deposited GeTe-Sb2Te3 based heterostructures
European Phase Change and Ovonic Symposium, Rome, Italy, 17.-20.09. (2023) 24-25
- K.Yu. Monakhov, M. Moors, E. Vogelsberg, J. Lorenz, J. Warneke, F. Yang
Solution-processable molecular oxides for integrated memories
2023 IEEE Int. Interconnect Technology Conference and IEEE Materials for Advanced Metallization Conference, Dresden, Germany, 22.-25.05. (2023), 1-3, DOI: 10.1109/IITC/MAM57687.2023.10154863
- H. Müller, Th. Arnold
Plasma jet polishing of optical surfaces
Proc. SPIE 12755, Tenth European Seminar on Precision Optics Manufacturing (2023) 1275504, DOI: 10.1117/12.2675793
- D. Rolon, F. Hölzel, J. Kober, S. Kühne, C.M. Vehmann, M. Tavares, T. Arnold, D. Oberschmidt
Suitability of aluminium RSA-501 for manufacturing diffractive optical elements by shaping processes
Proc. EUSPEN 23rd Int. Conf. & Exhibition, Copenhagen, Denmark, 12.-16.07.2023 (2023)
- L. Voss, M. Etter, N. Gaida, A.L. Hansen, N. Wolff, V. Duppel, A. Lotnyk, W. Bensch, L. Kienle
Identification of a new structural polymorph of quenched high-pressure CrTe3 (P2/m)
Paper Nr. MS4.P010, Microscopy Conf. 2023, Darmstadt, Germany, 26.02.-02.03. (2023) 228
- L. Voss, S. Cremer, N. Braun, L. Kienle, A. Lotnyk
Temperature induced volume change in GeTe-Sb2Te3 heterostructures below the crystallization temperature
European Phase Change and Ovonic Symposium, Rome, Italy, 17.-20.09. (2023) 173-174
- N. Wolff, G. Masciocchi, A. Lotnyk, J.W. van der Jagt, M.A. Syskaki, A. Lamberti, J. Langer, G. Jakob, B. Borie, A. Kehlberger, D. Ravelosona, M. Kläui, L. Kienle
Confined chemical intermixing in Ni/Fe multilayers by He+-ion irradiation
Paper Nr. MS2.P009, Microscopy Conf. 2023, Darmstadt, Germany, 26.02.-02.03. (2023) 124
Aufsätze in Zeitschriften mit Begutachtungssystem 2022
- A. Anders
Building on excellence and reputation, a more inclusive Journal of Applied Physics evolves
J. Appl. Phys. 131 (2022) 110401
- S. Altmayer, L. Köhler, P. Bielytskyi, W. Gärtner, J. Matysik, C. Wiebeler, C. Song
Light- and pH-dependent structural changes in cyanobacteriochrome AnPixJg2
Photochem. Photobiol. Sci. 21 (2022) 447-469, DOI: 10.1007/s43630-022-00204-4
- S.S. Babu, M. Rudolph, D. Lundin, T. Shimizu, J. Fischer, M.A. Raadu, N. Brenning, J.T. Gudmundsson
Modeling of high power impulse magnetron sputtering discharges with tungsten target
Plasma Sources Sci. Technol. 31 (2022) 065009, DOI: 10.1088/1361-6595/ac774a
- C. Babin, R. Stöhr, N. Morioka, T. Linkewitz, T. Steidl, R. Wörnle, D. Liu, E. Hesselmeier, V. Vorobyov, A. Denisenko, M. Hentschel, C. Gobert, P. Berwian, G.V. Astakhov, W. Knolle, S. Majety, P. Saha, M. Radulaski, N. Tien Son, J. Ul-Hassan, F. Kaiser, J. Wrachtrup
Fabrication and nanophotonic waveguide integration of silicon carbide colour centres with preserved spin-optical coherence
Nat. Mater. 21 (2022) 67-73, DOI: 10.1038/s41563-021-01148-3
- J. Barzola-Quiquia, E. Osmic, T. Lühmann, W.Böhlmann, J. Meijer, W. Knolle, B. Abel
Magnetic properties of red diamonds produced by high-temperature electron irradiation
Diam. Rel. Mater. 123 (2022) 108891, DOI: 10.1016/j.diamond.2022.108891
- J. Bernstein, J.W. Gerlach, A. Finzel, C. Bundesmann
Ion beam sputter deposition of SiO2 thin films using oxygen ions
Eur. Phys. J. B 95 (2022) 39, DOI: 10.1140/epjb/s10051-022-00307-y
- C. Bundesmann, C. Eichhorn, H. Neumann, F. Scholze, D. Spemann, M. Tartz, H.J. Leiter, R.Y. Gnizdor, F. Scortecci
In situ erosion measurement tools for electric propulsion thrusters: triangular laser head and telemicroscope
EPJ Techn. Instrum. 9 (2022) 1, DOI: 10.1140/epjti/s40485-022-00076-z
- Y. Chen, X. Shen, F. Liu, G. Wang, A. Lotnyk
Double-layered GeTe/Sb2Te heterostructures for enhancing thermoelectric performance
Scr. Mater. 218 (2022) 114848, DOI: 10.1016/j.scriptamat.2022.114848
- O. Daikos, T. Scherzer
In-line monitoring of the residual moisture in impregnated black textile fabrics by hyperspectral imaging
Prog. Org. Coat. 163 (2022) 106610, DOI: 10.1016/j.porgcoat.2021.106610
- O. Daikos, S. Naumov, W. Knolle, K. Heymann, T. Scherzer
Photoinitiator-free radical photopolymerization using polybrominated and polychlorinated aromatic methacrylates: Investigations on the mechanisms of initiation
J. Photochem. Photobiol. A 429 (2022) 113916, DOI: 10.1016/j.jphotochem.2022.113916
- H.B. Dizaji, T. Zeng, H. Hölzig, J. Bauer, G. Klöß, D. Enke
Ash transformation mechanism during combustion of rice husk and rice straw
Fuel 307 (2022) 121768, DOI: 10.1016/j.fuel.2021.121768
- C. Eichhorn, L. Pietzonka, F. Scholze, C. Bundesmann, D. Spemann, H. Neumann, H. Leiter
Single- and two-photon absorption laser-induced fluorescence spectroscopy in rare gasses for gridded ion thruster diagnostics
EPJ Techn. Instrum. 9 (2022) 2, DOI: 10.1140/epjti/s40485-022-00077-y
- I. Elbalasy, N. Wilharm, E. Herchenhahn, R. Konieczny, S.G. Mayr, J. Schnauß
From strain stiffening to softening—rheological characterization of keratins 8 and 18 networks crosslinked via electron irradiation
Polymers 14 (2022) 614, DOI: 10.3390/polym14030614
- H. Ennaceri, K. Fischer, A. Schulze, N. R. Moheimani
Membrane fouling control for sustainable microalgal biodiesel production: A review
Renew. Sust. Energ. Rev. 161 (2022) 112335, DOI: 10.1016/j.rser.2022.112335
- J. Foglszinger, A. Denisenko, T. Kornher, M. Schreck, W. Knolle, B. Yavkin, R. Kolesov, J. Wrachtrup
TR12 centers in diamond as a room temperature atomic scale vector magnetometer
npj Quantum Inf. 8 (2022) 65, DOI: 10.1038/s41534-022-00566-8
- J. Frenzel, A. Kupferer, M. Zink, S.G. Mayr
Laminin adsorption and adhesion of neurons and glial cells on carbon implanted titania nanotube scaffolds for neural implant applications
Nanomaterials 12 (2022) 3858
- R.N. Gannon, M.M. Choffel, H.R. Blackwood, N. Wolff, A. Lotnyk, L. Kienle, D.C. Johnson
Growth of crystallographically aligned PbSe films of controlled thickness on amorphous substrates
Z. Anorg. Allg. Chem. 648 (2022) e202200015, DOI: 10.1002/zaac.202200015
- R. Garmasukis, C. Hackl, A. Charvat, S. G. Mayr, B. Abel
Rapid prototyping of microfluidic chips enabling controlled biotechnology applications in microspace
Curr. Opin. Biotechnol. 81 (2023) 102948, DOI: 10.1016/j.copbio.2023.102948
- M. Glöß, R. Pütt, M. Moors, E. Kentzinger, S. Karthäuser, K.Yu. Monakhov
Exploring the ligand functionality, electronic band gaps, and switching characteristics of single wells-Dawson-type polyoxometalates on gold
DOI: 10.1002/admi.202200461
- J.T. Gudmundsson, J. Fischer, B.P. Hinriksson, M. Rudolph, D. Lundin
Ionization region model of a high power impulse magnetron sputtering discharge of copper
Surf. Coat. Technol 442 (2022) 128189, DOI: 10.1016/j.surfcoat.2022.128189
- J.T. Gudmundsson, A. Anders, A. von Keudell
Foundations of physical vapor deposition with plasma assistance
Plasma Sources Sci. Technol. 31 (2022) 083001, DOI: 10.1088/1361-6595/ac7f53
- M.P. Gund, M. Hannig, M.W. Laschke, A. Lehmann, A. Schindler, S. Rupf
Cold atmospheric plasma does not affect the regenerative potential of the pulp in rats
Plasma Process. Polymers 19 (2022) 2200093, DOI: 10.1002/ppap.202200093
- S. Guo, W. Diyatmika, Y. Unutulmazsoy, L. Yang, B. Dai, L. Xu, J. Han, V. Ralchenko, A. Anders, J. Zhu
High-quality transparent conductive indium oxide film deposition by reactive pulsed magnetron sputtering: Determining the limits of substrate heating
Appl. Surf. Sci. 585 (2022) 152604, DOI: 10.1016/j.apsusc.2022.152604
- R. Heinke, M. Ehrhardt, J. Bauer, A. Lotnyk, P. Lorenz, R. Morgenstern, T. Lampke, T. Arnold, K. Zimmer
Low surface damage laser processing of silicon by laser-induced plasma etching (LIPE)
Appl. Surf. Sci. 597 (2022) 153712, DOI: 10.1016/j.apsusc.2022.153712
- P. Henkel, S. Zahn, J. Lorenz, T. Jacob, J. Janek, D. Mollenhauer
Temperature-dependent Li vacancy diffusion in Li4Ti5O12 by means of first principles molecular dynamic simulations
Phys. Chem. Chem. Phys. 24 (2022) 5301-5316, DOI: 10.1039/D1CP05126A
- A. Holm, J. Schmalfuß, S.G. Mayr
Exploring coupled artensitic and order–disorder phase transitions in Fe7Pd3 shape memory alloys equilibrated along the bain path: an embedded atom method and ab initio based Monte Carlo study
Adv. Theory Simul. 5 (2022) 2100372, DOI: 10.1002/adts.202100372
- A. Holm, A. Kupferer, S. Mändl, A. Lotnyk, S.G. Mayr
Conductive tracks in carbon implanted titania nanotubes: atomic-scale insights from experimentally based ab initio molecular dynamics modeling
Adv. Theory Simul. 5 (2022) 2200063, DOI: 10.1002/adts.202200063
- A.M. Jakob, S.G. Robson, V. Schmitt, V. Mourik, M. Posselt, D. Spemann, B.C. Johnson, H.R. Firgau, E. Mayes, J.C. McCallum, A. Morello, D.N. Jamieson
Deterministic shallow dopant implantation in silicon with detection confidence upper bound to 99.85% by ion solid interactions
Adv. Mater. 34 (2022) 2103235, DOI: 10.1002/adma.202103235
- T. John, J. Adler, C. Elsner, J. Petzold, M. Krueger, L.L. Martin, D. Huster, H.J. Risselada, B. Abel
Mechanistic insights into the size-dependent effects of nanoparticles on inhibiting and accelerating amyloid fibril formation
J. Colloid Interface Sci. 622 (2022) 804-818, DOI: 10.1016/j.jcis.2022.04.134
- T. John, L.L. Martin, H.J. Risselada, B. Abel
Curvature model for nanoparticle size effects on peptide fibril stability and molecular dynamics simulation data
Data Br. 45 (2022) 108598, DOI: 10.1016/j.dib.2022.108598
- D. Kalanov, Y. Unutulmazsoy, D. Spemann, J. Bauer, A. Anders, C. Bundesmann
Properties of gallium oxide thin films grown by ion beam sputter deposition at room temperature
J. Vac. Sci. Technol. A 40 (2022) 033409, DOI:10.1116/6.0001825
- F. Klenner, M. Umair, S.H. G. Walter, N. Khawaja, J. Hillier, L. Nölle, Z. Zou, M. Napoleoni, A. Sanderink, W. Zuschneid, B. Abel, F. Postberg
Developing a laser induced liquid beam ion desorption spectral database as reference for spaceborne mass spectrometers
Earth Space Sci. 9 (2022) e2022EA002313, DOI: 10.1029/2022EA002313
- C. Krömmelbein, X. Xie, J. Seifert, R. Konieczny, S. Friebe, J. Käs, S. Riedel, S.G. Mayr
Electron beam treated injectable agarose/alginate beads prepared by electrospraying
Carbohydr. Polym. 298 (2022) 120024, DOI: 10.1016/j.carbpol.2022.120024
- T.I. Kwinda, B. Oberleiter, K. Fischer, I. Thomas, A. Schulze, D. Enke, S. Koppka
Evaluating the photocatalytic activity of 14.6TiO2–7Na2O–23B2O3-55.4SiO2 (mol.-%) glass based porous catalysts after selective laser sintering and conventional shaping
Ceram. Int. 48 (2022) 34064-34074, DOI: 10.1016/j.ceramint.2022.07.355
- C. Lee, M.N. Pohl, I.A. Ramphal, W. Yang, B. Winter, B. Abel, D.M. Neumark
Evaporation and Molecular Beam Scattering from a Flat Liquid Jet
J. Phys. Chem. A 126 (2022)3373-3383, DOI: 10.1021/acs.jpca.2c01174
- M. Lehnig, S. Glass, N. Lippmann, S. Ziganshyna, V. Eulenburg, R. Werdehausen
Evaluation of a luminometric cell counting system in context of antimicrobial photodynamic inactivation
Microorganisms 10 (2022) 950, DOI: 10.3390/microorganisms10050950
- P. Lorenz, M. Himmerlich, M. Ehrhart, E. Bez, K. Bodganowicz, M. Taborelli, K. Zimmer
Secondary electron yield reduction of copper after 355 nm ultrashort pulse laser ablation
Lasers Manuf. Mater. Process. 9 (2022) 135-150, DOI: 10.1007/s40516-022-00167-5
- P. Lorenz, E. Bez, M. Himmerlich, M. Ehrhardt, M. Taborelli, K. Zimmer
Secondary electron yield engineering of copper surfaces by 532 nm ultrashort laser pulses
Proc. CIRP 111 (2022) 662-666, DOI: 10.1016/j.procir.2022.08.017
- P. Lorenz, J. Zajadacz, F. Marquardt, M. Ehrhardt, G. Hommes, S. Peter, K. Zimmer
Self-cleaning stainless steel surfaces induced by laser processing and chemical engineering
Proc. CIRP 111 (2022) 711-714, DOI: 10.1016/j.procir.2022.08.019, Open Access: ja
- S. Lai, M. Ehrhardt, P. Lorenz, J. Zajadacz, B. Han, A. Lotnyk, K. Zimmer
Ultrashort pulse laser-induced submicron bubbles generation due to the near-surface material modification of soda-lime glass
Opt. Laser Technol. 146 (2022) 107573, DOI: 10.1016/j.optlastec.2021.107573
- A. Lipatiev, S. Lotarev, T. Lipateva, A. Okhrimchuk, S. Fedotov, A. Lotnyk, V. Sigaev
Fabrication of single-mode hybrid crystal-glass waveguides by direct femtosecond laser writing and thermal annealing
Mater. Res. Bull. 152 (2022) 111840, DOI: 10.1016/j.materresbull.2022.111840
- P. Lorenz, M. Ehrhardt, K. Zimmer
High pore density polyimide membrane Production by ps laser pulses
J. Laser Micro Nanoengin. 17 (2022) 6-11, DOI: 10.2961/jlmn.2022.01.2002
- S. Lotfi, K. Fischer, A. Schulze, A I. Schäfer
Photocatalytic degradation of steroid hormone micropollutants by TiO2-coated polyethersulfone membranes in a continuous flow-through process
Nat. Nanotechnol. 17 (2022) 417-423, DOI: 10.1038/s41565-022-01074-8
- B.-S. Lou, W.-T. Chen, W. Diyatmika, J.-H. Lu, C.-T. Chang, P.-W.C hen, J.-W. Lee
High power impulse magnetron sputtering (HiPIMS) for the fabrication of antimicrobial and transparent TiO2 thin films
Curr. Opin. Chem. Eng. 36 (2022) 100782, DOI: 10.1016/j.coche.2021.100782
- B.-S. Lou, F.-R. Kan, W. Diyatmika, J.-W. Lee
Property evaluation of TixZrNbTaFeBy high entropy alloy coatings: Effect of Ti and B contents
Surf. Coat. Technol. 434 (2022) 128180, DOI: 10.1016/j.surfcoat.2022.128180
- H. Lyko, A. Schulze
Funktionalisierte Mikrofiltrationsmembranen zur Entfernung von Mikroschadstoffen, Mikro- und Nanoplastik aus Wasser
GWF Wasser + Abwasser 163 (2022) 75-80
- X. Ma, M. Rohdenburg, H. Knorke, S. Kawa, J.K.-Y. Liu, E. Aprà, K.R. Asmis, V.A. Azov, J. Laskin, C. Jenne, H.I. Kenttamaa, J. Warneke
Binding of saturated and unsaturated C6-hydrocarbons to the electrophilic anion [B12Br11]-: A systematic mechanistic study
Phys. Chem. Chem. Phys. 24 (2022) 21759-21772, DOI: 10.1039/D2CP01042A
- G. Masciocchi, J.W. van der Jagt, M.-A. Syskaki, A. Lamperti, N. Wolff, A. Lotnyk, J. Langer, L. Kienle, G. Jakob, B. Borie, A. Kehlberger, D. Ravelosona, M. Kläui
Control of magnetoelastic coupling in Ni/Fe multilayers using He+ ion irradiation
Appl. Phys. Lett. 121 (2022) 182401, DOI: 10.1063/5.0107942
- D. Manova, P. Schlenz, S. Mändl
A combination of ion beam sputtering and in situ x-ray diffraction as a method for depth-resolved phase analysis using nitrogen-implanted austenitic stainless steel as an example
J. Appl. Phys. 131 (2022) 025306, DOI: 10.1063/5.0075245
- M. Mayer, N. Vankova, F. Stolz, B. Abel, T. Heine, K.R. Asmis
Identification of a Two-Coordinate Iron(I)-Oxalate Complex
Angew. Chemie Int. Ed. 61 (2022) e202117855, DOI: 10.1002/anie.202117855
- S.N. Merbt, A. Kroll, M. Tamminen, P.A. Rühs, B. Wagner, L. Sgier, O. Sembalova, B. Abel, A. Tlili, K. Schirmer, R. Behra
Influence of Microplastics on Microbial Structure, Function, and Mechanical Properties of Stream Periphyton
Front. Environ. Sci. 10 (2022) 928247, DOI: 10.3389/fenvs.2022.928247
- V.O. Mkpuma, N.R. Moheimani, H. Ennaceri
Microalgal dewatering with focus on filtration and antifouling strategies: a review
Algal Res. 61 (2022) 102588, DOI: 10.1016/j.algal.2021.10258
- V.O. Mkpuma, N.R. Moheimani, K. Fischer, A. Schulze, H. Ennaceri
Membrane surface zwitterionization for an efficient microalgal harvesting: A review
Algal Res. 66 (2022) 102797, DOI: 10.1016/j.algal.2022.102797
- L. Mühlenbein, C.B. Singh, A.K. Singh, I. Fina, C. Himcinschi, A. Lotnyk, A. Bhatnagar
Control of layering in aurivillius phase nanocomposite thin films and influence on ferromagnetism and optical absorption
ACS Appl. Electron. Mater. 4 (2022) 1997-2004, DOI: 10.1021/acsaelm.2c00160
- H. Müller, Th. Waak, U. Birnbaum, G. Böhm, Th. Arnold
Atmospheric plasma jet processing for figure error correction of an optical element made from S-BSL7
10.1051/jeos/2022003
- F. Niefind, R. Shivhare, S.C.B. Mannsfeld, B. Abel, M. Hambsch
Investigating the morphology of bulk heterojunctions by laser photoemission electron microscopy
Polym. Test. 116 (2022) 107791, DOI: 10.1016/j.polymertesting.2022.107791
- S.K. Petrovskii, M. Moors, S. Schmitz, E.V. Grachova, K.Yu. Monakhov
Increasing the redox switching capacity of Lindqvist-type hexavanadates by organogold post-functionalisation
Chem. Commun. 59 (2023) 9517-9520, DOI: 10.1039/D3CC02511J
- L. Qian, F.-D. Kopinke, T. Scherzer, J. Griebel, A. Georgi
Enhanced degradation of perfluorooctanoic acid by heat-activated persulfate in the presence of zeolites
Chem. Eng. J. 429 (2022) 13200, DOI: 10.1016/j.cej.2021.132500
- E. Reisz, S. Naumov, A. Tekle-Röttering, W. Schmidt
Hydride transfer versus H-abstraction in the reaction of O3 with 2-propanol: the influence of solvent
J. Phys. Org. Chem. 35 (2022) e4288, DOI: 10.1002/poc.4288
- P. Räcke, J. Meijer, D. Spemann
Image charge detection of ion bunches using a segmented, cryogenic detector
J. Appl. Phys. 131 (2022) 204502, DOI: 10.1063/5.0096094
- S.G. Robson, P. Räcke, A.M. Jakob, N. Collins, H.R. Firgau, V. Schmitt, V. Mourik, A. Morello, E. Mayer, D. Spemann, D.N. Jamieson
Near-surface electrical characterisation of silicon electronic devices using focused keV ions
Phys. Rev. Appl 18 (2022) 034037, DOI: 10.1103/PhysRevApplied.18.034037
- M. Rudolph, N. Brenning, H. Hajihoseini, M.A. Raadu, T.M. Minea, A. Anders, J.T. Gudmundsson, D. Lundin
Influence of the magnetic field on the discharge physics of a high power impulse magnetron sputtering discharge
J. Phys. D: Appl. Phys. 55 (2022) 015202ACCEPTED MANUSCRIPT, DOI: 10.1088/1361-6463/ac2968
- M. Rudolph, A. Revel, D. Lundin, N. Brenning, M.A. Raadu, A. Anders, T.M. Minea, J.T. Gudmundsson
On the population density of the argon excited levels in a high power impulse magnetron sputtering discharge
Phys. Plasmas A 29 (2022) 023506, DOI: 10.1063/5.0071887
- M. Rudolph, N. Brenning, H. Hajihoseini, M.A. Raadu, J. Fischer, J. T. Gudmundsson, D. Lundin
Operating modes and target erosion in high power impulse magnetron sputtering
J. Vac. Sci. Technol. A 40 (2022) 043005, DOI: 10.1116/6.0001919
- M. Rudolph, P. Birtel, T. Arnold, A. Prager, S. Naumov, U. Helmstedt, A. Anders, P.C. With Low-temperature atmospheric pressure plasma conversion of polydimethylsiloxane and polysilazane precursor layers to oxide thin films
Plasma Process Polym. 5 (2023) 2200229, DOI: 10.1002/ppap.202200229
- T. Rüdiger, M. Mitzschke, A. Prager, Ying Liu, B. Abel, A. Schulze, F. Frost
Ion incidence angle dependent pattern formation at AZ 4562® photo resist by Ar+ ion beam erosion
Appl. Surf. Sci. 574 (2022) 151682, DOI: 10.1016/j.apsusc.2021.151682
- S. Sandeep, A.S. Vishnevskiy, S. Raetz, S. Naumov, D.S. Seregin, A. Husiev, K.A. Vorotilov, V.E. Gusev, M.R. Baklanov
In-situ imaging of a light-induced modification process in organo-silica films via time-domain Brillouin scattering
Nanomaterials 12 (2022) 1600, DOI: 10.3390/nano12091600
- F. Scholze, F. Pietag, H. Adirim, M. Kreil, M. Kron, R. Woyciechowski, C. Bundesmann, D. Spemann
Development and test of a cost-efficient gridded ion thruster propulsion system for small satellites – IonJet
J. Electr. Prop. 1 (2022) 28, DOI: 10.1007/s44205-022-00028-5
- S. Schulz, S. Ziganshyna, N. Lippmann, S. Glass, V. Eulenburg, N. Habermann, U.T. Schwarz, A. Voigt, C. Heilmann, T. Rüffer, R. Werdehausen
The meta-substituted isomer of TMPyP enables more effective photodynamic bacterial inactivation than para-TMPyP in vitro
Microorganisms 10 (2022) 858, DOI: 10.3390/microorganisms10050858
- M. Schmidt, A.A. Latif, A. Prager, R. Gläser, A. Schulze
Highly efficient one-step protein immobilization on polymer membranes supported by response surface methodology
Front. Chem. 9 (2022) 804698, DOI: 10.3389/fchem.2021.804698
- M. Schmidt, A. Prager, N. Schönherr, R. Gläser, A. Schulze
Reagent-free immobilization of industrial lipases to develop lipolytic membranes with self-cleaning surfaces
Membranes 12 (2022) 599, DOI: 10.3390/membranes12060599
- L. Schmohl, A.J. Roesner, F. Fuchs, M. Wagner, M.B. Schmidt, S. Hahnel, A. Rauch, A. Koenig
Acid resistance of CAD/CAM resin composites
Biomedicines 10 (2022) 1383, DOI: 10.3390/biomedicines10061383
- M. Seiß, S. Schmitz, M. Moors, K. Monakhov
Metalloxidische Materialien
Chem. Unserer Zeit 56 (2022) 306-313, DOI: 10.1002/ciuz.202100034
- O. Shayestehpour, S. Zahn
Ion correlation in choline chloride–urea deep eutectic solvent (reline) from polarizable molecular dynamics simulations
J. Phys. Chem. B 126 (2022) 3439-3449, DOI: 10.1021/acs.jpcb.1c10671
- C. Sonnendecker, J. Oeser, P.K. Richter, P. Hille, Z. Zhao, C. Fischer, H. Lippold, P. Blázquez-Sánchez, F. Engelberger, C.A. Ramírez-Sarmiento, T. Oeser, Y. Lihanova, R. Frank, H.-G. Jahnke, S. Billig, B. Abel, N. Sträter, J. Matysik, W. Zimmermann
Low carbon footprint recycling of post-consumer PET plastic with a metagenomic polyester hydrolase
ChemSusChem 15 (2022) e202101062, DOI: 10.1002/cssc.202101062
- A. Sorokina, D.R. Rydnyk, K.Yu. Monakhov, T. Heine
What is the maximum charge uptake of Lindqvist-type polyoxovanadates in organic-inorganic heterostructures?
Phys. Chem. Chem. Phys. 24 (2022) 26848-26852, DOI: 10.1039/D2CP04687C
- A. Spesyvyi, J. Zabka, M. Polásek, A. Charvat, J. Schmidt, F. Postberg, B. Abel
Charged ice particle beams with selected narrow mass and kinetic energy distributions
J. Am. Soc. Mass Spectrom. 34 (2023) 878-892, DOI: 10.1021/jasms.2c00357
- L. Streisel, M. Ehrhardt, P. Lorenz, R. Heinke, A. Hossain, K. Zimmer
Ultrahigh precision machining of polymer surface using laser-induced reactive micro-plasmas
J. Laser Micro Nanoengin. 17 (2022) 28-34, DOI: 10.2961/jlmn.2022.01.2005
- J. Su, S. Fichtner, M.Z. Ghori, N. Wolff, M.R. Islam, A. Lotnyk, D. Kaden, F. Niekiel, L. Kienle, B. Wagner, F. Lofink
Growth of highly c-axis oriented AlScN films on commercial substrates
Micromachines 13 (2022) 783, DOI: 10.3390/mi13050783
- E. Vogelsberg, M. Moors, A.S. Sorokina, D.A. Ryndyk, S. Schmitz, J.S. Freitag, A.V. Subbotina, T. Heine, B. Abel, K.Yu. Monakhov
Solution-processed formation of DNA-origami-supported polyoxometalate multi-level switches with countercation-controlled conductance tunability
Chem. Mater. 35 (2023) 5447-5457, DOI: 10.1021/acs.chemmater.3c00776
- D.S. Walch, Y. Yun, N. Ramakrishnegowda, L. Mühlenbein, A. Lotnyk, C. Himcinschi, A. Bhatnagar
Resistive switching in ferroelectric Bi2FeCrO6 thin films and impact on the photovoltaic effect
Adv. Electron. Mater. 8 (2022) 2200276, DOI: 10.1002/aelm.202200276
- G. Wang, Y. Chen, A. Lotnyk, X. Shen, H. Shi
Kirkendall effect induced ultralow thermal conductivity yields enhanced thermoelectric properties in Mg–Zn–Sb alloys
Chem. Eng. J. 444 (2022) 136599, DOI: 10.1016/j.cej.2022.136599
- X. Wang, B. Han, M. Ehrhardt, F. Zhang, J. Wang, P. Wang, P.P. Monka, S. Sun
Non-damage deep etching of SiC by hybrid laser-high temperature chemical processing
Int. J. Appl. Ceram. Technol. 19 (2022) 2344-2355, DOI: 10.1111/ijac.14061
- X. Wang, K. Zimmer, M. Ehrhardt, F. Zhang, J. Wang, P. Wang, J. Shao, J. Liu, A. Cao, W. Sun, S. Sun
One-step in-situ low damage etching of SiC/SiC composites by high-temperature chemical-assisted laser processing
Ceram. Int. 48 (2022) 34472-34482, DOI: 10.1016/j.ceramint.2022.08.028
- X. Wang, M. Ehrhardt, P. Lorenz, K. Zimmer, F. Zhang, J. Wang, P. Wang, Y. Hayasaki, H.B. Ahmad, J. Shao, S. Sun
Effects of various pulse width laser with 1064 nm wavelength on thermal ablation and phase transition of monocrystalline silicon
Exp. Heat. Trans. 36 (2023) 632-647, DOI: 10.1080/08916152.2022.2066736
- X. Wang, B. Han, M. Ehrhardt, D. Kim, F. Zhang, J. Wang, P. Wang, S. Sun
Optimizing hole shape and improving surface quality of Inconel 718 alloy by high temperature chemical assisted laser processing
Met. Mater. Int. 29 (2023) 1991-2003, DOI: 10.1007/s12540-022-01344-z
- M. Wertz, M.B. Schmidt, H. Hoelzig, M. Wagner, B. Abel, G. Kloess, S. Hahnel, A. Koenig
Rhombohedral phase formation in yttria-stabilized zirconia induced by dental technical tools and its impact on dental applications
Materials 15 (2022) 4471. DOI: 10.3390/ma15134471
- N. Wilharm, M. Bertmer, W. Knolle, J. Griebel, C. Elsner, S.G. Mayr
Biomimetic crosslinking of collagen gels by energetic electrons: the role of L-lysine
Acta Biomater. 140 (2022) 219-232, DOI: 10.1016/j.actbio.2021.09.025
- N. Wilharm, T. Fischer, A. Hayn, S.G. Mayr
Structural Breakdown of Collagen Type I Elastin Blend Polymerization
Polymers 14 (2022) 4434, DOI: 10.3390/polym14204434
- C. Yan, D. Byrne, J.C. Ondry, A. Kahnt, I.A. Moreno-Hernandez, G.A. Kamat, Z.-J. Liu, C. Laube, M.F. Crook, Y. Zhang, P. Ercius, A.P. Alivasatos
Facet-selective etching trajectories of individual semiconductor nanocrystals
Sci. Adv. 8 (2022) eabq1700, DOI: 10.1126/sciadv.abq1700
- F. Yang, M. Moors, D.A. Huang, S. Schmitz, M. Rohdenburg, H. Knorke, A. Charvat, X.-B. Wang, K.Yu. Monakhov, J. Warneke
On-surface single-molecule identification of mass-selected cyclodextrin-supported polyoxovanadates for multistate resistive-switching memory applications
ACS Appl. Nano Mater. 5 (2022) 14216-14220, DOI: 10.1021/acsanm.2c03025
- Y. Yu, P. Lorenz, C. Strobel, J. Zajadacz, M. Albert, K. Zimmer, R. Kirchner
Plasmonic 3D Self-Folding Architectures via Vacuum Microforming
Small 18 (2021) 2105843, DOI: 10.1002/smll.202105843
- J. Zhu, G. Wang, Y. Jiao, T. Wu, A. Lotnyk
Monatomic Sb thin films alloyed with Sb2S3 enables superior thermal stability and resistance drift by spontaneous self-decomposition
Ceram. Int. 49 (2023) 19960-19965, DOI: 10.1016/j.ceramint.2023.03.117
- S. Ziganshyna, G. Szczepankiewicz, M. Kuehnert, A. Schulze, U.G. Liebert, C. Pietsch, V. Eulenburg, R. Werdehausen
Photodynamic inactivation of SARS-CoV-2 infectivity and antiviral treatment effects in vitro
Viruses 14 (2022) 1301, DOI: 10.3390/v14061301
- K. Zimmer, M. Ehrhardt, P. Lorenz, X. Wang, P. Wang, S. Sun
Etching of SiC–SiC-composites by a laser-induced plasma in a reactive gas
Ceram. Int. 48 (2022) 90-95, DOI: 10.1016/j.ceramint.2021.09.084
A.I. Zotovich, S.M. Zyryanov, D.V. Lopaev, A.A. Rezvanov, A.G. Attallah, M.O. Liedke, M. Butterling, M.A. Bogdanova, A.S. Vishnevskiy, D.S. Seregin, D.A. Vorotyntsev, A.P. Palov, E. Hirschmann, A. Wagner, S. Naumov, K.A. Vorotilov, T.V. Rakhimova, A.T. Rakhimov, M.R. Baklanov
Modification of porous ultralow-k film by vacuum ultraviolet emission
ACS Appl. Electron. Mater. 4 (2022) 2760-2776, DOI: 10.1021/acsaelm.2c00281
Aufsätze in anderen Zeitschriften 2022
- T. Arnold, G. Boehm, H. Mueller, M. Ehrhardt, K. Zimmer
Plasma jet assisted polishing of fused silica freeform optics
EPJ Web Conf. 266 (2022) 03001, DOI: 10.1051/epjconf/202226603001
- D. Breite, M. Krause, K. Kmoch, M. Went, A. Prager, M. Kühnert, A. Schulze
Preparation of porous PET membranes using recycled polymer in a phase inversion process
Paper Nr. 606, Proc. Euromembrane 2022, Sorrento, Italy, 20.-24.11. (2022) 382
- C. Eichhorn, F. Scholze, D. Spemann, H. Leiter
Two-photon laser-induced fluorescence measurements of neutral densities near the accelerator grid of an ion thruster: Status of current activities
Paper Nr. Paper Nr. IEPC-2022-261, 37th Int. Electric Propulsion Conf., Cambridge, MA, United States, 19.-23.06. (2022)
- E. Gärtner, J. Edelmann, C. Hackl, L. Roussak, L. Tomasova, Z. Guttenberg, S. Gnüchtel
UV-Imprint of micro-textured polymer films for biomedical disposables
Proc. EUSPEN 22nd International Conference & Exhibition, Geneva, Switzerland, 30.05.-03.06. (2022)
- S. Glaß, M. Kühnert, B. Abel, A. Schulze
Tailored hydrogel wound patches prepared by controlled electron beam synthesis
16. ThGOT Thementage Grenz- und Oberflächentechnik & 13. Biomaterial Kolloquium, Zeulenroda, Germany, 14.-15.06. (2022)
- S. Henkel, C. Schulze, S. Frank, C. Letsch, J. Bliedtner, T. Arnold, H. Mueller, E. Rädlein
Investigations on a novel process chain for manufacturing of freeform surfaces
EPJ Web Conf. 266 (2022) 03010, DOI: 10.1051/epjconf/202226603010
- K. Holste, T. Henning, B. Nauschütt, P.J. Klar, D. Spemann, F. Scholze, C. Eichhorn, H. Leiter, P. Dietz, E. Bosch-Borras
Endurance and coupling test of the RIT-µX
Paper Nr. IEPC-2022-247, 37th Int. Electric Propulsion Conf., Cambridge, MA, United States, 19.-23.06. (2022)
- K.H. Leopold, D. Breite, A. Prager, N. Schönherr, L. Drößler, S. Weiß, M. Schmidt, M. Went, D. Enke, A. Schulze
In-situ hydrophilization of hollow fiber membranes using electron beam grafting
Proc. Euromembrane 2022, Sorrento, Italy, 20.-24.11 (2022)
- P. Lorenz, M. Himmerlich, M. Ehrhardt, E. Bez, K. Bogdanowicz, M. Taborelli, K. Zimmer
Secondary electron yield engineering of copper surfaces using ultra short infrared laser pulses
Proc. SPIE 11989, Laser-based Micro- and Nanoprocessing XVI (2022) 119890A, DOI: 10.1117/12.2609463
- H. Müller, Th. Arnold
Atmospheric plasma jet used as polishing tool for optical glasses
Proc. SPIE 12298, Ninth European Seminar on Precision Optics Manufacturing (2022) 1229806, DOI: 10.1117/12.2631860
- Z. Niavarani, D. Breite, B. Abel, A. Schulze
Synthesis of hybrid PES membranes via electron beam irradiation for selective removal of 17ß-estradiol from water
Proc. Euromembrane 2022, Sorrento, Italy, 20.-24.11.
- L. Pietzonka, C. Eichhorn, F. Scholze, D. Spemann
Extraction of velocity distribution functions of neutrals and ions from laser-induced fluorescence spectra measured in the discharge chamber of a radiofrequency-driven xenon ion source
Paper Nr. Paper Nr. IEPC-2022-249, 37th Int. Electric Propulsion Conf., Cambridge, MA, United States, 19.-23.06. (2022)
- M. Schmidt, A. Abdul Latif, A. Prager, R. Gläser, A. Schulze
Reagent-free biofunctionalization of polymer membranes
Proc. 6th International School and Conference on Biological Materials Science – Bioinspired Materials 2022, Kostenz, Germany, 21. -24.03. (2022) 40
- M. Schmidt, A. Prager, R. Gläser, A. Schulze
Bioactive polymer membrane filters by grafting of enzymes
Proc. Biennial Meeting of the GDCh-Division of Macromolecular Chemistry, Aachen, Germany, 12.-14.09. (2022) 74
- F. Scholze, F. Pietag, C. Bundesmann, R. Woyciechowski, D. Spemann, M. Kreil, M. Kron, H. Adirim
IonJet: Development of a cost-efficient gridded ion thruster propulsion system for smallsats
Paper Nr. IEPC-2022-246, 37th Int. Electric Propulsion Conf., Cambridge, MA, United States, 19.-23.06. (2022)
- A. Schulze, T. Sener Raman, S.G. Mayr, B. Abel
Synthesis of a PEGDA/Gelatin hybrid hydrogel for wound dressing
16. ThGOT Thementage Grenz- und Oberflächentechnik & 13. Biomaterial Kolloquium, Zeulenroda, Germany, 14.-15.06.
- A. Schulze, K. Fischer, J. Becker-Jahn, Z. Niavarani, D. Breite
Functionalized microfiltration membranes for the removal of micropollutants from water
Proc. Euromembrane 2022, Sorrento, Italy, 20.-24.11. (2022) 236
Monographien und Beiträge zu Sammelbänden 2022
- A. Anders
Cathodic Arcs – From Fractal Spots to Energetic Condensation (Chinese Translation)
Paper Nr. ISBN 978-7-5165-3155-6, published by China Aviation Publishing and Media Co. Ltd., Beijing, China, 22.09. (2022)
- B. Rauschenbach
Low-energy ion irradiation of materials - fundamentals and application
Springer Series in Materials Science 324 (2022), DOI: 10.1007/978-3-030-97277-6
Aufsätze in Zeitschriften mit Begutachtungssystem 2021
S.K. Aghda, D. Music, Y. Unutulmazsoy, H. H. Sua, S. Mráz, M. Hans, D. Primetzhofer, A. Anders, J.M. Schneider
Unravelling the ion-energy-dependent structure evolution and its implications for the elastic properties of(V,Al)N thin films
Acta Mater. 214 (2021) 117003, DOI: 10.1016/j.actamat.2021.117003- S. Altmayer, S. Jähnigen, L. Köhler, C. Wiebeler, C. Song, D. Sebastiani, J. Matysik
Hydrogen bond between a tyrosine residue and the C-ring propionate has a direct influence on conformation and absorption of the bilin cofactor in red/green cyanobacteriochromes
J. Phys. Chem. B 125 (2021) 1331–1342, DOI: 10.1021/acs.jpcb.0c08518
- A. Anders
Meeting today's needs in applied physics publishing
J. Appl. Phys. 129 (2021) 090401, DOI: 10.1063/5.0047440
- C. Baeumer, J. Li, Q. Lu, A.Y.-L. Liang, L. Jin, H.P. Martins, T. Duchon, M. Glöß, S.M. Gericke, M.A. Wohlgemuth, M. Giesen, E.E. Penn, R. Dittmann, F. Gunkel, R. Waser, M. Bajdich, S. Nemsák, J.T. Mefford, W.C. Chueh
Tuning electrochemically driven surface transformation in atomically flat LaNiO3 thin films for enhanced water electrolysis
Nature Materials 20 (2021) 674-682, DOI: 10.1038/s41563-020-00877-1
- A. Banari, C. Henry, R.H.F. Eidt, P. Lorenz, K. Zimmer, U. Hampel, G. Lecrivain
Evidence of collision-induced resuspension of microscopic particles from a monolayer deposit
Phys. Rev. Fluids 6 (2021) L082301 , DOI: 10.1103/PhysRevFluids.6.L082301
- F. Bauer, R. Meyer, M. Bertmer, S. Naumov, M. Al-Naji, J. Wissel, M. Steinhart, D. Enke
Silanization of siliceous materials, part 3: modification of surface energy and acid-base properties of silica nanoparticles determined by inverse gas chromatography (IGC)
Colloids Surf. A: Physicochem. Eng. Asp. 618 (2021) 126472, DOI: 10.1016/j.colsurfa.2021.126472
- J. Becker-Jahn, J. Griebel, S. Glaß, P. Langowski, S. Nieß, A. Schulze
Photoactive polymer membranes for degradation of pharmaceuticals from water
Catal. Today 364 (2021) 256-262, DOI: 10.1016/j.cattod.2020.05.017
- L. Botsch, P. D. Esquinazi, C. Bundesmann, D. Spemann
Toward a systematic discovery of artificial functional magnetic materials
Phys. Rev. B 104 (2021) 014428, DOI: 10.1103/PhysRevB.104.014428
- M. Börner, D. Fuhrmann, J. Klose, H. Krautscheid, B. Kersting
Ethereal hydroperoxides: powerful reagents for S-oxygenation of bridging thiophenolate functions
Inorg. Chem. 60 (2021) 13517-13527, DOI: 10.1021/acs.inorgchem.1c01854
- M. Börner, J. Klose, M. Gutierrez Suburu, C. Strassert, F. Yang, K. Monakhov, B. Abel, B. Kersting
Synthesis and characterisation of luminescent [CrIII2L(μ-carboxylato)]3+ complexes with high-spin S = 3 ground states (L = N6S2 donor ligand)
Chem. Eur. J. 27 (2021) 14899-14910
- N. Brenning, H. Hajihoseini, M. Rudolph, M.A. Raadu, J.T. Gudmundsson, T.M. Minea, D. Lundin
HiPIMS optimization by using mixed high-power and low-power pulsing
Plasma Sources Sci. Technol. 30 (2021) 015015, DOI: 10.1088/1361-6595/abd79a
- H. Bryja, J.W. Gerlach, A. Prager, M. Ehrhardt, B. Rauschenbach, A. Lotnyk
Epitaxial layered Sb2Te3 thin films for memory and neuromorphic applications
2D Mater. 8 (2021) 045027, DOI: 10.1088/2053-1583/ac1e71
- C. Bundesmann, J. Bauer, A. Finzel, J.W. Gerlach, W. Knolle, A. Hellmich, R. Synowicki
Properties of indium tin oxide thin films grown by Ar ion beam sputter deposition
J. Vac. Sci. Technol. A 39 (2021) 033406, DOI: 10.1116/6.0000917
- Y. Chen, M. Cai, H. Chen, S. Kroker, Y. Liu, F. Frost, Y. Hong
Optical anisotropy of self-organized gold quasiblazed nanostructures based on a broad ion beam
Appl. Optics 60 (2021) 505-512, DOI: 10.1364/AO.412631
- M.F. Crook, C. Laube, I.A. Moreno-Hernandez, A. Kahnt, S. Zahn, J.C. Ondry, A. Liu, A.P. Alivisatos
Elucidating the role of halides and iron during radiolysis-driven oxidative etching of gold nanocrystals using liquid cell transmission electron microscopy and pulse radiolysis
J. Am. Chem. Soc. 143 (2021) 11703-11713, DOI: 10.1021/jacs.1c05099
- O. Daikos, M. Naumann, K. Ohndorf, C. Bundesmann, U. Helmstedt, T. Scherzer, Near-infrared hyperspectral imaging for monitoring the thickness distribution of thin poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) layers
Talanta 223 (2021) 121696, DOI: 10.1016/j.talanta.2020.121696
- O. Daikos, T. Scherzer
Monitoring of the residual moisture content in finished textiles during converting by NIR hyperspectral imaging
Talanta 221 (2021) 121567, DOI: 10.1016/j.talanta.2020.121567
- O. Daikos, T. Scherzer
In-line monitoring of the application weight of an adhesion promoter and the residual moisture content in textile finishing by hyperspectral imaging using a NIR camera
Prog. Org. Coat. 157 (2021) 106334, DOI: 10.1016/j.porgcoat.2021.106334
- O. Daikos, A. Volland, T. Scherzer
In-line monitoring of the application weight of an adhesion promoter and the residual moisture content in textile finishing by hyperspectral imaging using a NIR multiplex spectrometer system
Prog. Org. Coat. 159 (2021) 106395, DOI: 10.1016/j.porgcoat.2021.106395
- R. Das, P. Solís-Fernández, D. Breite, A. Prager, A. Lotnyk, A. Schulze, H. Ago
High flux and adsorption based non-functionalized hexagonal boron nitride lamellar membrane for ultrafast water purification
Chem. Eng. J. 420 (2021) 127721, DOI: 10.1016/j.cej.2020.127721
- M. Ehrhardt, P. Lorenz, J. Bauer, M.A. Hossain, B. Han, K. Zimmer
Dry etching of germanium with laser induced reactive micro plasma
Lasers Manuf. Mater. Process. 8 (2021) 237-255, DOI: 10.1007/s40516-021-00147-1
- H. Ennaceri, A. Taleb, M. Boujnah, A. Khaldoun, J. Ebothé, A. Ennaoui, A. Benyoussef
Theoretical and experimental studies of Al-doped ZnO thin films: optical and structural properties
J. Comput. Electron. 20 (2021) 1948-1958, DOI: 10.1007/s10825-021-01744-1
- K. Evert, T. Kocher, A. Schindler, M. Müller, K. Müller, C. Pink, B. Holtfreter, A. Schmidt, F. Dombrowski, A. Schubert, T. von Woedtke, S. Rupf, D.F. Calvisi, S. Bekeschus, L. Jablonowski
Repeated exposure of the oral mucosa over 12 months with cold plasma is not carcinogenic in mice
Sci. Rep. 11 (2021) 20672, DOI: 10.1038/s41598-021-99924-3
- S. Glass, M. Kühnert, N. Lippmann, J. Zimmer, R. Werdehausen, B. Abel, V. Eulenburg, A. Schulze
Photosensitizer-loaded hydrogels for photodynamic inactivation of multirestistant bacteria
RSC Adv. 11 (2021) 7600-7609, DOI: 10.1039/d0ra09786a
- M. Golizadeh, F. Mendez Martin, S. Kolozsvári, A. Anders, R. Franz
Cathode spot behavior in nitrogen and oxygen gaseous atmospheres and concomitant cathode surface modifications
Surf. Coat. Technol. 421 (2021) 127441, DOI: 10.1016/j.surfcoat.2021.127441
- I. Gómez, A. Claver, J.A. Santiago, I. Fernandez, J.F. Palacios, C. Díaz, S. Mändl, J.A. García
Improved adhesion of the DLC coating using HiPIMS with positive pulses and plasma immersion pretreatment
Coatings 11 (2021) 1070, DOI: 10.3390/coatings11091070
- B.W. Grau, M. Dill, F. Hampel, A. Kahnt, N. Jux, S.B. Tsogoeva
Four-step domino reaction enables fully controlled non-statistical synthesis of hexaarylbenzene with six different aryl groups
Angew. Chem. Int. Ed. 60 (2021) 22307-22314, DOI: 10.1002/anie.202104437
- C. Grüner, S. Grüner, S.G. Mayr, B. Rauschenbach
Avoiding anisotropies in on‐lattice simulations of ballistic deposition
phys. stat. sol. (b) 258 (2021) 2000036, DOI: 10.1002/pssb.202000036
- H. Gu, J. Rohmer, J. Jetter, A. Lotnyk, L. Kienle, E. Quandt, R.D. James
Exploding and weeping ceramics
Nature 599 (2021) 416-420, DOI: 10.1038/s41586-021-03975-5
- P. Hahn, S. Ullmann, A. Kahnt, B. Abel, B. Kersting
Synthesis, structures and luminescence properties of dinuclear Nd, Eu, Tb, and Yb complexes supported by a pendant picolyl-imine calix[4]arene ligand
Inorg. Chim. Acta 514 (2021) 119983, DOI: 10.1016/j.ica.2020.119983
- A.S. Hakeem, S. Ali, T. Höche, Q.A. Drmosh, A.A. Khan, B. Jonson
Microstructure evaluation and impurities in La containing silicon oxynitrides
Nanomaterials 11 (2021) 1896, DOI: 10.3390/nano11081896
- M.H. Hatahet, M. Wagner, A. Prager, U. Helmstedt, B. Abel
Functionalized and platinum-decorated multi-layer oxidized graphene as a proton and electron conducting separator in solid acid fuel cells
Catalysts 11 (2021) 947, DOI: 10.3390/catal11080947
- R. Heinke, M. Ehrhardt, P. Lorenz, K. Zimmer
Dry etching of monocrystalline silicon using a laser-induced reactive micro plasma
Appl. Surf. Sci. Adv. 6 (2021) 100169, DOI: 10.1016/j.apsadv.2021.100169
- F. Herbst, S. Großer, P.C. With, L. Prager, M. Pander
Helium transmission rate as a rapid and reliable method for assessing the water vapour transmission rate of transparent PET-SiOx barrier foils
Packag. Technol. Sci. 34 (2021) 497-504, DOI: 10.1002/pts.2576
- D. Hoffmann, J. Dietrich, S. Mändl, M. Zink, S.G. Mayr
Nanoporous morphogenesis in amorphous carbon layers: experiment and modeling on energetic ion induced self-organization
Adv. Theory Simul. 4 (2021) 2100093, DOI: 10.1002/adts.202100093
- A. Holm, S.G. Mayr
Glassy and ballistic dynamics in collision cascades in amorphous TiO2: combined molecular dynamics and Monte Carlo based studies across energy scales
Phys. Rev. B 103 (2021) 174201, DOI: 10.1103/PhysRevB.103.174201
- A.M. Hossain, M. Ehrhardt, M. Rudolph, D.V. Kalanov, P. Lorenz, K. Zimmer, A. Anders
Dynamics and 2D temperature distribution of plasma obtained by femtosecond laser-induced breakdown
J. Phys. D: Appl. Phys. 55 (2021) 125204, DOI: 10.1088/1361-6463/ac42f8
- Y. Jiang, Q. Yuan, W. Cao, M. Rohdenburg, M.C. Nierstenhöfer, Z. Li, Y. Yang, C. Zhong, C. Jenne, J. Warneke, H. Sun, Z. Sun, X.-B. Wang
Gaseous cyclodextrin-closo-dodecaborate complexes χCD⋅B12X122- (χ = α, β, and γ; X = F, Cl, Br, and I): electronic structures and intramolecular interactions
Phys. Chem. Chem. Phys. 23 (2021) 13447-13457, DOI: 10.1039/D1CP01131F
- T. John, M. Cieslak, D. Vargová, S.M. Richardson, V. Mougel, J.V. Milic
The role of early-career chemists in european policy making
Chem. Eur. J. 27 (2021) 6359-6366, DOI: 10.1002/chem.202100167
- D. Kalanov, R. Kozakov, S. Gortschakow
Spatially resolved LAAS diagnostics of a free-burning Ar arc: analysis of line broadening
J. Quant. Spectrosc. Radiat. Transf. 265 (2021) 107564, DOI: 10.1016/j.jqsrt.2021.107564
- D. Kalanov, A. Anders, C. Bundesmann
Properties of secondary ions in ion beam sputtering of Ga2O3
J. Vac. Sci. Technol. A 39 (2021) 053409, DOI: 10.1116/6.0001204
- U. Kapprell, S. Friebe, S. Grüner, C. Grüner, A. Kupferer, B. Rauschenbach, S.G. Mayr
Fibroblast response to nanocolumnar TiO2 structures grown by oblique angle sputter deposition
Adv. Mater. Interfaces 8 (2021) 2100646, DOI: 10.1002/admi.202100646
- F. Kazemi, T. Arnold, P. Lorenz, M. Ehrhardt, K. Zimmer
Combined reactive plasma jet-laser etching method for technical optical glass containing metal oxides
Appl. Surf. Sci. 515 (2021) 149339, DOI: 10.1016/j.apsusc.2021.149339
- F. Kazemi, G. Boehm, T. Arnold
A novel Deal-Grove-inspired model for fluorine-based plasma jet etching of borosilicate crown optical glass
Plasma Process Polym. 18 (2021) 2000218, DOI: 10.1002/ppap.202000218
- F. Kazemi, G. Boehm, T. Arnold
Recursive algorithm for modeling non-linear etching rates in reactive plasma jet based optical surface machining of borosilicate crown glass
Precis. Eng. 72 (2021) 205-214, DOI: 10.1016/j.precisioneng.2021.04.013
- N. Kraft, G. Wang, H. Bryja, A. Prager, J. Griebel, A. Lotnyk
Phase and grain size engineering in Ge-Sb-Te-O by alloying with La-Sr-Mn-O towards improved material properties
Mater. Des. 199 (2021) 109392, DOI: 10.1016/j.matdes.2020.109392
- C. Krömmelbein, M. Mütze, R. Konieczny, N. Schönherr, J. Griebel, W. Gerdes, S.G. Mayr, S. Riedel
Impact of high-energy electron irradiation on mechanical, structural and chemical properties of agarose hydrogels
Carbohydr. Polym. 263 (2021) 117970, DOI: 10.1016/j.carbpol.2021.117970
- A. Kupferer, A. Holm, A. Lotnyk, S. Mändl, S.G. Mayr
Compositional patterning in carbon implanted titania nanotubes
Adv. Func. Mater. 35 (2021) 2104250, DOI: 10.1002/adfm.202104250
- A. Kupferer, S. Mändl, S.G. Mayr
Tailoring morphology in titania nanotube arrays by implantation: experiments and modeling on pore closure - and beyond
Mater. Res. Lett. 9 (2021) 483-489, DOI: 10.1080/21663831.2021.1976294
- A. Kupferer, M. Mensing, J. Lehnert, S. Mändl, S.G. Mayr
Carbon and Neon Ion Bombardment Induced Smoothing and Surface Relaxation of Titania Nanotubes
Nanomaterials 11 (2021) 2458, DOI: 10.3390/nano11092458
- C. Küstner-Wetekam, X.Q. Hu, L. Marder, Ph. Schmidt, C. Ozga, Ch. Zindel, H. Otto, Y.G. Peng, J.G. Wang, C. Richter, N. Sisourat, U. Hergenhahn, A. Knie, A. Ehresmann, Y. Wu, A. Hans
Nature and impact of charge transfer to ground-state dications in atomic and molecular environments
Phys. Rev. A 104 (2021) 042802 DOI: 10.1103/PhysRevA.104.042802
- E. Lehner, A. Liebau, F. Syrowatka, W. Knolle, S.K. Plontke, K. Mäder
Novel biodegradable round window disks for inner ear delivery of dexamethasone
Int. J. Pharm. 594 (2021) 120180
DOI: 10.1016/j.ijpharm.2020.120180
- T. Lenz, A. Wickenbrock, F. Jelezko, G. Balasubramanian, D. Budker
Magnetic sensing at zero field with a single nitrogen-vacancy center
Quantum Sci. Technol. 6 (2021) 034006, DOI: 10.1088/2058-9565/abffbd
- J. Li, G. Yang, R.M. Bradley, Y. Liu, F. Frost, Y. Hong
Enhancing the quality of self-organized nanoripples by Ar-ion bombardment of a bilayer system
Nanotechnol. 32 (2021) 385301, DOI: 10.1088/1361-6528/ac074e
- O. Lorenz, A. Kühne, M. Rudolph, W. Diyatmika, A. Prager, J.W. Gerlach, J. Griebel, S. Winkler, A. Lotnyk, A. Anders, B. Abel
Role of reaction intermediate diffusion on the performance of platinum electrodes in solid acid fuel cells
Catalysts 11 (2021) 1065, DOI: 10.3390/catal11091065
- A. Lotnyk, I. Hilmi, M. Behrens, B. Rauschenbach
Temperature dependent evolution of local structure in chalcogenide-based superlattices
Appl. Surf. Sci. 536 (2021) 147959, DOI: 10.1016/j.apsusc.2020.147959
- B.-S. Lou, W.-T. Chen, W. Diyatmika, J.-H. Lu, C.-T. Chang, P.-W. Chen, J.-W. Lee
Effect of target poisoning ratios on the fabrication of titanium oxide coatings using superimposed high power impulse and medium frequency magnetron sputtering
Surf Coat Technol. 421 (2021) 127430, DOI: 10.1016/j.surfcoat.2021.127430
- B.-S. Lou, Y.-T. Hsiao, L.-C. Chang, W. Diyatmika, J.-W. Lee
The influence of different power supply modes on the microstructure, mechanical, and corrosion properties of nc-TiC/a-C:H nanocomposite coatings
Surf. Coat. Technol. 422 (2021) 127512, DOI: 10.1016/j.surfcoat.2021.127512,
- S. Malerz, F. Trinter, U. Hergenhahn, A. Ghrist, H. Ali, C. Nicolas, C.-M. Saak, C. Richter, S. Hartweg, L. Nahon, C. Lee, C. Goy, D.M. Neumark, G. Meijer, I. Wilkinson, B. Winter, S. Thürmer
Low-energy constraints on photoelectron spectra measured from liquid water and aqueous solutions
Phys. Chem. Chem. Phys. 23 (2021) 8246-8260, DOI: 10.1039/D1CP00430A
- D. Marinov, J.-F. de Marneffe, Q. Smets, G. Arutchelvan, K.M. Bal, E. Voronina, T. Rakhimova, Y. Mankelevich, S. El Kazzi, A.N. Mehta, P.-J. Wyndaele, M. Hartmut Heyne, J. Zhang, P.C. With, S. Banerjee, E.C. Neyts, I. Asselberghs, D. Lin, S. De Gendt
Reactive plasma cleaning and restoration of transition metal dichalcogenide monolayers
npj 2D Mater. Appl. 5 (2021) 17, DOI: 10.1038/s41699-020-00197-7
- M. Mayer, M. Rohdenburg, S. Kawa, F. Horn, H. Knorke, C. Jenne, R. Tonner, K.R. Asmis, J. Warneke
Relevance of π-backbonding for the reactivity of electrophilic anions [B12X11]- (X=F, Cl, Br, I, CN)
Chem. Eur. J. 27 (2021) 10274-10281, DOI: 10.1002/chem.202100949
- M. Moors, Y. An, A. Kuc, K.Yu. Monakhov
TiOx/Pt3Ti(111) surface-directed formation of electronically responsive supramolecular assemblies of tungsten oxide clusters
Beilstein J. Nanotechnol. 12 (2021) 203-212, DOI: 10.3762/bjnano.12.16
- M. Moors, J. Warneke, X. López, C. de Graaf, B. Abel, K. Y. Monakhov
Insights from adsorption and electron modification studies of polyoxometalates on surfaces for molecular memory applications
Acc. Chem. Res. 54 (2021) 3377-3389, DOI: 10.1021/acs.accounts.1c00311
- Z. Niavarani, D. Breite, A. Prager, B. Abel, A. Schulze
Estradiol removal by adsorptive coating of a microfiltration membrane
Membranes 11 (2021) 99, DOI: 10.3390/membranes11020099
- V. Nikolaou, G. Charalambidis, G. Landrou, E. Nikoloudakis, A. Planchat, R. Tsalameni, K. Junghans, A. Kahnt, F. Odobel, A.G. Coutsolelos
Antenna effect in BODIPY-(Zn)porphyrin entities promotes H2 evolution in dye-sensitized photocatalytic Systems
ACS Appl. Energy Mater. 4 (2021) 10042-10049, DOI: 10.1021/acsaem.1c01975
- K. Oh, D. Kalanov, A. Anders
Streak image observations of vacuum arc spots in a magnetically steered arc plasma source
29th Int. Symposium on Discharges and Electrical Insulation in Vacuum (ISDEIV), 2021, pp. 222-225
DOI: 10.1109/ISDEIV46977.2021.9587287
- K. Oh, D. Kalanov, A. Anders
High-resolution observation of cathode spots in a magnetically steered vacuum arc plasma source
Plasma Sources Sci. Technol. 30 (2021) 095005, DOI: 10.1088/1361-6595/ac1ee1
- K. Oh, D. Kalanov, P. Birtel, A. Anders
High-resolution observation of cathodic arc spots in a magnetically steered arc plasma source in low pressure argon, nitrogen, and oxygen atmospheres
J. Appl. Phys. 130 (2021) 183304, DOI: 10.1063/5.0072021
- E. Oswald, A.-L. Gaus, J. Kund, M. Küllmer, J. Romer, S. Weizenegger, T. Ullrich, A. K. Mengele, L. Petermann, R. Leiter, P. R. Unwin, U. Kaiser, S. Rau, A. Kahnt, A. Turchanin, M. von Delius, C. Kranz
Cobaloxime complex salts: synthesis, patterning on carbon nanomembranes and heterogeneous hydrogen evolution studies
Chem. Eur. J. 27 (2021) 16896-16903, DOI: 10.1002/chem.202102778
- C. Ozga, C. Honisch, Ph. Schmidt, X. Holzapfel, C. Zindel, C. Küstner-Wetekam, C. Richter, U. Hergenhahn, A. Ehresmann, A. Knie, A. Hans
Photon-electron coincidence experiments at synchrotron radiation facilities with arbitrary bunch modes
Rev. Sci. Instrum. 92 (2021) 045110, DOI: 10.1063/5.0040179
- I. Papadopoulos, A. Menon, F. Plass, D. Molina, C. Harreiß, A. Kahnt, E. Spiecker, A. Sastre-Santos, D. M. Guldi
Efficient charge-transfer from diketopyrrolopyrroles to single-walled carbon nanotubes
Nanoscale 13 (2021) 11544-11551, DOI: 10.1039/D1NR03105H
- F. Plass, S. Bönisch, F. Held, T. Ullrich, F. E. J. Fischer, A. Guryev, A. Görling, A. Kahnt, S. B. Tsogoeva
Controlling and fine-tuning charge-transfer emission in 2,6-dicyanoaniline multichromophores prepared through domino reactions: entry to a potentially new class of OLEDs
J. Org. Chem. 86 (2021) 6111-6125, DOI: 10.1021/acs.joc.0c02944
- R. Pütt, P. Kozlowski, I. Werner, J. Griebel, S. Schmitz, J. Warneke, K.Y. Monakhov
{P2V3W15}-polyoxometalates functionalized with phthalocyaninato Y and Yb moieties
Inorg. Chem. 60 (2021) 80-86, DOI: 10.1021/acs.inorgchem.0c02257
- H.Y. Samayoa-Oviedo, K.-A. Behrend, S. Kawa, H. Knorke, P. Su, M.E. Belov, G. Anderson, J. Warneke, J. Laskin
Design and performance of a soft-landing instrument for fragment ion deposition
Anal. Chem. 93 (2021) 14489-14496, DOI: 10.1021/acs.analchem.1c03009
- L. Qian, F.-D. Kopinke, T. Scherzer, J. Griebel, A. Georgi
Enhanced degradation of perfluorooctanoic acid by heat-activated persulfate in the presence of zeolites
Chem. Eng. J. 429 (2021) 13200, DOI: 10.1016/j.cej.2021.132500
- M. Rasadujjaman, Y. Wang, L. Zhang, S. Naumov, A.G. Attallah, M.O. Liedke, N. Koehler, M. Redzhe, A.S. Vishnevskiy, D.S. Seregin, Y. Wu, J.Zhang, J. Leu, A. Wagner, K.A. Vorotilov, S.E. Schulz, M.R. Baklanov
Corrigendum to “A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous organosilicate-glass films with various ratios of methyl terminal and ethylene bridging groups”[Microporous Mesoporous Mater. 306 (2020) 110434]
Micropor. Mesopor. Mat. 312 (2021) 110785, DOI: 10.1016/j.micromeso.2020.110785
- P. Räcke, L. Pietzonka, J. Meijer, D. Spemann, R. Wunderlich
Vacancy diffusion and nitrogen-vacancy center formation near the diamond surface
Appl. Phys. Lett. 118 (2021) 204003, DOI: 10.1063/5.0046031
- S. Riedel, D. Ward, R. Kudláčková, K. Mazur, L. Bačáková, J.G. Kerns, S.L. Allinson, L. Ashton, R. Koniezcny, S.G. Mayr, T.E.L. Douglas
Electron beam-treated enzymatically mineralized gelatin hydrogels for bone tissue engineering
J. Funct. Biomater. 12 (2021) 57, DOI doi.org/10.3390/jfb12040057
- E. Rohkamm, D. Spemann, F. Scholze, F. Frost
Characterization of an RF excited broad beam ion source operating with inert gases
J. Appl. Phys. 129 (2021) 223305, DOI: 10.1063/5.0052758
- M. Rudolph, H. Hajihoseini, M.A. Raadu, J.T. Gudmundsson, N. Brenning, T.M. Minea, A. Anders, D. Lundin
On how to measure the probabilities of target atom ionization and target ion backattraction in high-power impulse magnetron sputtering
J. Appl. Phys. 129 (2021) 033303, DOI: 10.1063/5.0036902
- M. Rudolph, A. Revel, D. Lundin, H. Hajihoseini, N. Brenning, M.A. Raadu, A. Anders, T.M. Minea, J.T Gudmundsson
On the electron energy distribution function in the high power impulse magnetron sputtering discharge
Plasma Sources Sci. Technol. 30 (2021) 045011, DOI: 10.1088/1361-6595/abefa8
- M. Rudolph, D. Kalanov, W. Diyatmika, A. Anders
Electron transport in high power impulse magnetron sputtering at low and high working gas pressure
J. Appl. Phys. 130 (2021) 244301, DOI: 10.1063/5.0075744
- H. Rueß, J. Werner, Y. Unutulmazsoy, J.W. Gerlach, X. Chen, B. Stelzer, D. Music, S. Kolozsvari, P. Polcik, T.E. Weirich, J.M. Schneider
Effect of target peak power density on the phase formation, microstructure evolution and mechanical properties of Cr2AlC MAX-phase coatings
J. Eur. Ceram. Soc. 41 (2021) 1841-1847, DOI: 10.1016/j.jeurceramsoc.2020.10.072
- M. Schmidt, S. Zahn, F. Gehlhaar, A. Prager, J. Griebel, A. Kahnt, W. Knolle, R. Konieczny, R. Gläser, A. Schulze
Radiation-induced graft immobilization (RIGI): covalent binding of non-vinyl compounds on polymer membranes
Polymers 13 (2021) 1849-1868, DOI: 10.3390/polym13111849
- S. Schmitz, N.V. Izarova, J. van Leusen, K. Kleemann, K.Yu. Monakhov, P. Kögerler
Expansion of zirconium oxide clusters by 3d/4f ions
Inorg. Chem. 60 (2021) 11599-11608, DOI: 10.1021/acs.inorgchem.1c01526
- S. Schmorl, S. Naumov, B. Abel, M. Börner, A. Pöppl, B. Kersting
Metalloligands based on Robson-type amino-thiophenolato macrocycles for assembly of heterotrimetallic complexes
Dalton Trans. 50 (2021) 5784-5788, DOI: 10.1039/D1DT00723H
- A. Schulze, L. Drößler, S. Weiß, M. Went, A. Abdul Latif, D. Breite, K. Fischer
Membranfunktionalisierung im Pilotmaßstab: Rolle-zu-Rolle-Elektronenstrahlsystem mit Inline-Kontaktwinkelbestimmung
Chem. Ing. Tech. 93 (2021) 1383-1388, DOI:10.1002/cite.202100028
- M. Seiss, S. Schmitz, M. Börner, K.Y. Monakhov
Synthesis and crystal structure of a one-dimensional chain-like strontium(II) coordination polymer built of N-methyldiethanolamine and isobutyrate ligands
Acta Cryst. E 77 (2021) 703-707, DOI: 10.1107/S2056989021005594
- A. Setzer, P.D. Esquinazi, O. Daikos, T. Scherzer, A. Pöppl, R. Staacke, T. Lühmann, S. Pessagna, W. Knolle, S. Buga, B. Abel, J. Meijer
Weak electron irradiation suppresses the superconducting and superparamagnetic contributions to the magnetization of N-doped diamond crystal
Phys. Status Solidi B 258 (2021) 2100395, DOI: 10.1002/pssb.202100395
- Slavov, T. Fischer, A. Barnoy, H. Shin, A.G. Rao, C. Wiebeler, X. Zeng, Y. Sun, Q. Xu, A. Gutt, K.-H. Zhao, W. Gärtner, X. Yang, I. Schapiro, J. Wachtveitl
The interplay between chromophore and protein determines the extended excited state dynamics in a single-domain phytochrome
Proc. Natl. Acad. Sci. 117 (2020) 16356-16362, DOI: 10.1073/pnas.1921706117
- K.S. Stroh, H.J. Risselada
Quantifying membrane curvature sensing of peripheral proteins by simulated buckling and umbrella sampling
J. Chem. Theory Comput. 17 (2021) 5276-5286, DOI: 10.1021/acs.jctc.1c00021
- M. Stuckart, N. V. Izarova, M. Glöß, J. Klose, C. Schmitz-Antoniak, P. Kögerler, B. Kersting, K. Y. Monakhov
Insertion of VIV ions into the polyoxotungstate archetype {As4W40}
Inorg. Chem. 60 (2021) 8437-8441, DOI: 10.1021/acs.inorgchem.1c00897
- K. Ueltzen, S. Schmitz, M. Moors, M. Glöß, M. Börner, I. Werner, Z. Warneke, J. Warneke, B. Abel, K.Yu. Monakhov
Synthesis, structure, and surface adsorption characteristics of a polynuclear MnII,IV-YbIII complex
Inorg. Chem. 60 (2021) 10415-10425, DOI: 10.1021/acs.inorgchem.1c00994
- S. Ullmann, M. Börner, A. Kahnt, B. Abel, B. Kersting
Green-emissive Zn2+ complex supported by a macrocyclic Schiff-base/calix[4]arene-ligand: crystallographic and spectroscopic characterization
Eur. J. Inorg. Chem. 2021 (2021) 3691-3698, DOI: 10.1002/ejic.202100442
- R.D. Urban, T.G. Fischer, A. Charvat, K. Wink, B. Krafft, S. Ohla, K. Zeitler, B. Abel, D. Belder
On-chip mass spectrometric analysis in non-polar solvents by liquid beam infrared matrix-assisted laser dispersion/ionization
Anal. Bioanal. Chem. 413 (2021) 1561-1570, DOI: 10.1007/s00216-020-03115-4
- M. Ulitschka, J. Bauer, F. Frost, T. Arnold
Improvement of the optical properties after surface error correction of aluminium mirror surfaces
J. Eur. Opt. Soc. Rapid Publ. 17 (2021) 1, DOI: 10.1186/s41476-020-00143-6
- K. Vogel, R. Wei, L. Pfaff, D. Breite, H. Al-Fathia, C. Ortmann, I. Estrela-Lopis, T. Venus, A. Schulze, H. Harms, U.T. Bornscheuer, T. Maskow
Enzymatic degradation of polyethylene terephthalate nanoplastics analyzed in real time by isothermal titration calorimetry
Sci. Total Environ. 773 (2021) 145111, DOI: 10.1016/j.scitotenv.2021.145111
- M. Wagner, O. Lorenz, F.P. Lohmann-Richters, A. Varga, B. Abel
Study on solid electrolyte catalyst poisoning in solid acid fuel cells
J. Mater. Chem. A 9 (2021) 11347-11358, DOI: 10.1039/D1TA01002F
- G. Wang, Y. Zhang, A. Lotnyk, H. Shi, C. Chen
High thermoelectric performance in ZnSb-SnTe pseudo-binary materials
Scr. Mater. 194 (2021) 113670, DOI: 10.1016/j.scriptamat.2020.113670
- J. Warneke, X.-B. Wang
Measuring electronic structure of multiply charged anions to understand their chemistry: A case study on gaseous polyhedral closo-borate dianions
J. Phys. Chem. A 125 (2021) 6653-6661, DOI: 10.1021/acs.jpca.1c04618
- C. Wiebeler, J. Vollbrecht, A. Neuba, H.-S. Kitzerow, S. Schumacher
Unraveling the electrochemical and spectroscopic properties of neutral and negatively charged perylene tetraethylesters
Sci. Rep. 11 (2021) 16097, DOI: 10.1038/s41598-021-95551-0
- M. Wild, F. Stolz, S. Naumov, B. Abel
On the in situ formation of carbenes in ionic liquids
Mol. Phys. 119 (2021) e1974589, DOI: 10.1080/00268976.2021.1974589
- N. Wolff, P. Jordt, J. Jetter, H. Vogt, A. Lotnyk, K. Seemann, S. Ulrich, E. Quandt, B.M. Murphy, L. Kienle
Nanostabilization of tetragonal distorted FeCo variants in ultra-thin FeCo/TiN multilayer films
Mater. Charact. 172 (2021) 110871, DOI: 10.1016/j.matchar.2021.110871
- T. Wulf, J. Warneke, T. Heine
B12X11(H2)−: exploring the limits of isotopologue selectivity of hydrogen adsorption
RSC Adv. 11 (2021) 28466-28475, DOI: 10.1039/D1RA06322G
- R. Wunderlich, R. Staacke, W. Knolle, B. Abel, J. Haase, J. Meijer
Robust nuclear hyperpolarization driven by strongly coupled nitrogen vacancy centers
J. Appl. Phys. 130 (2021) 104301, DOI: 10.1063/5.0052790
- B. Yang, C. Zhang, S. Cheng, G. Li, J. Griebel, J. Neuhaus
Novel metabolic signatures of prostate cancer revealed by 1H-NMR metabolomics of urine
Diagnostics 11 (2021) 149, DOI: 10.3390/diagnostics11020149
- F. Yang, K.A. Behrend, H. Knorke, M. Rohdenburg, A. Charvat, C. Jenne, B. Abel, J. Warneke
Anion-anion chemistry with mass-selected molecular fragments on surfaces
Angew. Chem. Int. Ed. 60 (2021) 24910-24914, DOI: 10.1002/anie.202109249
- C.-Y. Yu, Y.-F. Gao, B. Han, M. Ehrhardt, P. Lorenz, L.-F. Xu, R.-H. Zhu
Picosecond laser induced periodic surface structures on K9 glass
Surf. Interfaces 23 (2021) 101026, DOI: 10.1016/j.surfin.2021.10102
- Q. Yuan, M. Rohdenburg, W. Cao, E. Aprà, J. Landmann, M. Finze, J. Warneke, X.-B. Wang
Isolated [B2(CN)6]2–: small yet exceptionally stable nonmetal dianion
J. Phys. Chem. Lett. 12 (2021) 12005–12011, DOI: 10.1021/acs.jpclett.1c03533
- Y. Yun, L. Mühlenbein, D.S. Knoche, A. Lotnyk, A. Bhatnagar
Strongly enhanced and tunable photovoltaic effect in ferroelectric-paraelectric superlattices
Science Advances 7 (2021), DOI: 10.1126/sciadv.abe4206
Aufsätze in anderen Zeitschriften 2021
E. Bez, M. Himmerlich, A. K. Reascos Portilla, L. Baudin, P. Lorenz, K Zimmer, M. Taborelli, A. Anders
Laser-induced surface structuring for electron cloud mitigation in particle accelerators
Proc. 12. Mittweidaer Lasertagung, Mittweida, Germany, 10.-11.11. (2021) 79-82D. Breite, M. Krause, K. Kmoch, M. Went, A. Prager, M. Kühnert, A. Schulze
Membrane preparation via non-solvent-induced phase separation based on recycled poly(ethylene terephthalate)
Paper Nr. 275, Euromembrane 2021, Copenhagen, Denmark, 28.11.-02.12. (2021) 293M. Cable, S.E. Waller, R. Hodyss, A.E. Hofmann, M.J. Malaska, R.E. Continetti, A. Jaramillo-Botero, B. Abel, F. Postberg, M.E.C. Miller, S. Burke, A. Belousov, F. Klenner, N. Tallarida, J. Lambert, S. Fuerstenau, Z. Ulibarri
Plume grain sampling at hypervelocity: implications for astrobiology investigations
Bulletin of the AAS 53 (2021) , DOI: 10.3847/25c2cfeb.aef1b166J. Becker-Jahn, A. Schulze
Photoactive polymer membranes - using photosensitizers and visible light for degradation of micropollutants
Paper Nr. P 189, Euromembrane 2021, Copenhagen, Denmark, 28.11.-02.12. (2021) 371- K. Fischer, J. Lohmann, E. Schmidt, T.H. Blaich, C. Belz, I. Thomas, A. Schulze
Anti-biofouling membranes via hydrogel electron beam modification - a fundamental and applied study
Paper Nr. 43, Euromembrane 2021, Copenhagen, Denmark, 28.11.-02.12. (2021) 60
H. Müller, G. Böhm, Th. Arnold
Atmospheric plasma jet machining of an optical element made from borosilicate crown glass
Proc. SPIE 11853, Eighth European Seminar on Precision Optics Manufacturing (2021) 1185303, DOI: 10.1117/12.2593591Z. Niavarani, D. Breite, B. Abel, A. Schulze
Estradiol removal by adsorptive coating on a microfiltration membrane
Proc. 23rd JCF Frühjahrssymposium (2021) 163T. Rüdiger, M. Mitzschke, A. Prager, A. Schulze, F. Frost
Nanostructuring of polymers with ion beam etching
Proc. 23rd JCF Frühjahrssymposium (2021) 159M. Schmidt, S. Zahn, A. Prager, W. Knolle, R. Gläser, A. Schulze
Electron-beam-induced grafting of polymer membranes
Proc. 23rd JCF Frühjahrssymposium (2021) 125M. Schmidt, A. Abdul Latif, A. Prager, R. Gläser, A. Schulze
One-step grafting of bovine serum albumin protein on polymer embranes
Paper Nr. P 439, Euromembrane 2021, Copenhagen, Denmark, 28.11.-02.12. (2021) 356A. Schulze, L. Drößler, S. Weiß, M. Went, A. Abdul Latif, D. Breite, K. Fischer
Membranfunktionalisierung im Pilotmaßstab: Rolle-zu-Rolle-Elektronenstrahlsystem mit Inline-Kontaktwinkelbestimmung
Proc. DGMT Tagung 2021 'Membranen zum Schutz von Klima und Ressourcen', Deutsche Gesellschaft für Membrantechnik (2021) 12-19A. Schulze
Electron beam engineering of polymer membranes: high performance, antifouling, long-term stability
Paper Nr. 313, Euromembrane 2021, Copenhagen, Denmark, 28.11.-02.12. (2021) 159T. Sener Raman, C. Krömmelbein, S. Mayr, B. Abel, A. Schulze
Tailoring the material properties of gelatin/PEGDA hybrid hydrogels by high energy electron irradiation
Proc. 23rd JCF Frühjahrssymposium (2021) 160
Einzelbeiträge in Sammelwerken 2021
A. Kahnt
Photophysical characterization of porphyrinoids
Applications of Porphyrinoids as Functional Materials (2021) 220-251, DOI: 10.1039/9781839164149-00220M. Liebl, D. Eberbeck, A. Coene, J. Leliaert, P. Jauch, M. Kruteva, L. Fruhner, L. Barnsley, S.G. Mayr, F. Wiekhorst
Magnetic measurement methods to probe nanoparticle–matrix interactions, Magnetic measurement methods to probe nanoparticle–matrix interactions
Magnetic Hybrid-Materials: Multi-scale Modelling, Synthesis, and Applications, edited by Stefan Odenbach, Berlin, Boston: De Gruyter, 2021, pp. 225-256. https://doi.org/10.1515/9783110569636-009L. Pfaff, D. Breite, C.P.S. Badenhorst, U.T. Bornscheuer, R. Wei
Fluorimetric high-throughput screening method for polyester hydrolase activity using polyethylene terephthalate nanoparticles
Methods Enzymol. 648 - Enzymatic Plastic Degradation (2021) 253-270, DOI: 10.1016/bs.mie.2020.11.003T. Scherzer
Applications of NIR techniques in polymer coatings and synthetic textiles, Near-Infrared Spectroscopy
Theory, Spectral Analysis, Instrumentation, and Applications (2021) 475-516, DOI: 10.1007/978-981-15-8648-4_21
Aufsätze in Zeitschriften mit Begutachtungssystem 2020
- T. Amelal, L. Pietzonka, E. Rohkamm C. Bundesmann
Properties of secondary particles for the reactive ion beam sputtering of Ti and TiO2 using oxygen ions
J. Vac. Sci. Technol. A 38 (2020) 033403, DOI: 10.1116/1.5142911
- A. Anders
Serving a scientific community in an evolving research landscape
J. Appl. Phys. 127 (2020) 080401, DOI: 10.1063/5.0003409
- T. Arnold, G. Boehm, F. Kazemi
Advances in precision freeform manufacturing by plasma jet machining
EPJ Web Conf. 238 (2020) 03001, DOI: /10.1051/epjconf/202023803001
- K.R. Asmis, B.B. Beele, C. Jenne, S. Kawa, H. Knorke, M.C. Nierstenhöfer, X.-B. Wang, J. Warneke, Z. Warneke, Q. Yuan
Synthesis, electronic properties and reactivity of [B12X11(NO2)]2- (X=F-I) dianions
Chem. Eur. J. 26 (2020) 14594, DOI: 10.1002/chem.202003537
- K. Batra, S. Zahn, T. Heine
Benchmark of simplified time-dependent density functional theory for UV-Vis spectral properties of porphyrinoids
Adv. Theory Simul. 3 (2020) 1900192, DOI: 10.1002/adts.201900192
- J. Bauer, F. Frost
Orientation-dependent nanostructuring of titanium surfaces by low-energy ion beam erosion
Surf. Interface Anal. 52 (2020) 1071-1076, DOI: 10.1002/sia.6764 - M. Behrens, A. Lotnyk, J.W. Gerlach, B. Rauschenbach
Strain-induced phase selection in epitaxial Ge2Sb2Te5 thin films
Phys. Rev. Materials 4 (2020) 015001, DOI: 10.1103/PhysRevMaterials.4.015001
- M. Behrens, A. Lotnyk, H. Bryja, J.W. Gerlach, B. Rauschenbach
Structural transitions in Ge2Sb2Te5 phase change memory thin films induced by nanosecond UV optical pulses
Materials 13 (2020) 2082, DOI: 10.3390/ma13092082
- P. Benettoni, J.-Y. Ye, T.R. Holbrook, F. Calabrese, S. Wagner, M. Zarejousheghani, J. Griebel, M.K. Ullrich, N. Musat, M. Schmidt, R. Flyunt, T. Reemtsma, H.-H. Richnow, H. Strykanyuk
Surface cleaning and sample carrier for complementary high-resolution imaging techniques
Biointerphases 15 (2020) 02100, DOI: 10.1116/1.5143203
- L. Botsch, N. Raatz, S. Pezzagna, R. Staacke, R. John, B. Abel, P.D. Esquinazi, J. Meijer, S. Diziain
Vectorial calibration of superconducting magnets with a quantum magnetic sensor
Rev. Sci. Instrum. 91 (2020) 125003, DOI: 10.1063/5.002359
- M. Boujnah, H. Ennaceri, A. El Kenz, A. Benyoussef, E. Chavira, M. Loulidi, H. Ez-Zahraouy
The impact of point defects on the optical and electrical properties of cubic ZrO2
J. Comput. Electron. 19 (2020) 940-946, DOI: 10.1007/s10825-020-01520-7
- D. Breite, M. Went, A. Prager, M. Kühnert, A. Schulze
Reduction of biofouling of a microfiltration membrane using amide functionalities - hydrophilization without changes in morphology, Polymers 12 (2020) 1379, DOI: 10.3390/polym12061379
- N. Brenning, A. Butler, H. Hajihoseini, M. Rudolph, M.A. Raadu, J.T. Gudmundsson, T. Minea, D. Lundin
ptimization of HiPIMS discharges: the selection of pulse power, pulse length, gas pressure, and magnetic field strength
J. Vac. Sci. Technol. A 38 (2020) 033008, DOI: 10.1116/6.0000079
- H. Bryja, C. Grüner, J.W. Gerlach, M. Behrens, M. Ehrhardt, B. Rauschenbach, A. Lotnyk
Impact of interfaces on bipolar resistive switching behavior in amorphous Ge-Sb-Te thin films
J. Phys. D.: Appl. Phys. 53 (2020) 184002, DOI: 10.1088/1361-6463/ab6bf0
- C. Bundesmann, A. Hellmich
Energy distributions of secondary ions for the Ar ion beam sputtering of indium tin oxide
J. Vac. Sci. Technol. B 38 (2020) 064002, DOI: 10.1116/6.0000516
- H.-F. Chang, W. K. Yeung, W.-C. Kao, M. Ehrhardt, K. Zimmer, J.-Y. Cheng
Surface micromachining on a polymethylmethacrylate substrate using visible laser-induced backside wet etching with a KMnO4 solution as an absorber
J. Laser Appl. 32 (2020) 022014, DOI: 10.2351/1.5114659
- M. Cisternas, H. Bhuyan, M.J. Retamal, N. Casanova-Morales, M. Favre, U.G. Volkmann, P. Saikia, D.E. Diaz-Droguett, S. Mändl, D. Manova, N. Moraga, A. Chandía-Christi, A. Alvarez, F. Guzmán
Study of nitrogen implantation in Ti surface using plasma immersion ion implantation & deposition technique as biocompatible substrate for artificial membranes
Mater. Sci. Eng. C 113 (2020) 111002, DOI: 10.1016/j.msec.2020.111002
- F. Clauder, F.D. Zitzmann, S. Friebe, S.G. Mayr, A. Robitzki, A. Beck-Sickinger
Multifunctional coatings combining bioactive peptides and affinity-based cytokine delivery for enhanced integration of degradable vascular grafts
Biomater. Sci. 8 (2020) 1734-1747, DOI: 10.1039/c9bm01801h
- M. Deppe, T. Henksmeier, J.W. Gerlach, D. Reuter
D.J. As, Molecular beam epitaxy growth and characterization of germanium-doped cubic AlxGa1-xN
Phys. Status Solidi B 257 (2020) 1900532, DOI: 10.1002/pssb.201900532
- W. Diyatmika, C.-Y. Cheng, J.-W. Lee
Fabrication of Cr-Si-N coatings using a hybrid high-power impulse and radio-frequency magnetron co-sputtering: The role of Si incorporation and duty cycle
Surf. Coat. Technol. 403 (2020) 1263788, DOI: 10.1016/j.surfcoat.2020.126378
- M. Ehrhardt, P. Lorenz, B. Han, K. Zimmer
Laser-induced reactive microplasma for etching of fused silica
Appl. Phys. A 126 (2020) 842, DOI: 10.1007/s00339-020-04019-x
- M. Ehrhardt, S. Lai, P. Lorenz, K. Zimmer
Guiding of LIPSS formation by excimer laser irradiation of pre-patterned polymer films for tailored hierarchical structures
Appl. Surf. Sci. 506 (2020) 144785, DOI: 10.1016/j.apsusc.2019.144785
- L.J. Endter, Y. Smirnova, H.J. Risselada
Density field thermodynamic integration (DFTI): a 'soft' approach to calculate the free energy of surfactant self-assemblies
J. Phys. Chem. C 124 (2020) 6775-6785, DOI: 10.1021/acs.jpcb.0c03982
- H. Ennaceri, K. Fischer, K. Hanus, A. Chemseddine, A. Prager, J. Griebel, M. Kühnert, A. Schulze, B. Abel
Effect of morphology on the photo-electrochemical activity of TiO2 self-organized nanotube arrays
Catalysts 10 (2020) 279, DOI: 10.3390/catal10030279
- P.D. Esquinazi, W. Hergert, M. Stiller, L. Botsch, H. Ohldag, D. Spemann, M. Hoffmann, W.A. Adeagbo, A. Chassé, S.K. Nayak
H. Ben Hamed
Defect-Induced Magnetism in Nonmagnetic Oxides: Basic Principles, Experimental Evidence, and Possible Devices with ZnO and TiO2
Phys. Status Solidi B 257 (2020) 1900623, DOI: 10.1002/pssb.201900623
- J. Feng, A. Lotnyk, H. Bryja, X. Wang, M. Xu, Q. Lin, X. Cheng, M. Xu, H. Tong, X. Miao
‘Stickier’-surface Sb2Te3 templates enable fast memory switching of phase change material GeSb2Te4 with growth-dominated crystallization
ACS Appl. Mater. Interfaces 12 (2020) 33397-33407, DOI: 10.1021/acsami.0c07973
- K. Fischer, S. Sydow, J. Griebel, S. Naumov, C. Elsner, I. Thomas, A. Abdul Latif, A. Schulze
Enhanced removal and toxicity decline of Diclofenac by combining UVA treatment and adsorption of photoproducts to polyvinylidene difluoride
Polymers 12 (2020) 2340, DOI: 10.3390/polym12102340
- M. Fuchs, S. Schmitz, P.M. Schäfer, T. Secker, A. Metz, A.N. Ksiazkiewicz, A. Pich, P. Kögerler, K.Yu. Monakhov, S. Herres-Pawlis
Mononuclear zinc(II) Schiff base complexes as catalysts for the ring-opening polymerization of lactide, Eur. Polym. J. 122 (2020) 109302, DOI: 10.1016/j.eurpolymj.2019.109302
- Y.-F. Gao, C.-Y. Yu, B. Han, M. Ehrhardt, P. Lorenz, L.-F. Xu, R.-H. Zhu
Picosecond laser-induced periodic surface structures (LIPSS) on crystalline silicon
Surf. Interfaces 19 (2020) 100538, DOI: 10.1016/j.surfin.2020.100538
- M. Golizadeh, A. Anders, F. Mendez Martin, S. Kolozsvári, R. Franz
Insights into surface modification and erosion of multi-element arc cathodes using a novel multilayer cathode design
J. Appl. Phys. 127 (2020) 113301, DOI: 10.1063/1.5141406
- S. Gozem, R. Seidel, U. Hergenhahn, E. Lugovoy, B. Abel, B. Winter, A.I. Krylov, S.E. Bradforth
Probing the electronic structure of bulk water at the molecular length scale with angle-resolved photoelectron spectroscopy
J. Phys. Chem. Lett. 11 (2020) 5162-5170, DOI: 10.1021/acs.jpclett.0c00968
- B. Göttel, H. Lucus, F. Syrowatka, W. Knolle, J. Kuntsche, J. Heinzelmann, A. Viestenz, K. Mäder
In situ gelling amphotericin B nanofibers: a new option for the treatment of keratomycosis
Front. Bioeng. Biotechnol. 8 (2020) 600384 DOI: 10.3389/fbioe.2020.600384
- M. Gronbach, F. Mitrach, S. Möller, S. Rother, S. Friebe, S.G. Mayr, M. Schnabelrauch, V. Hintze, M.C. Hacker, M. Schulz-Siegmund
A versatile macromer-based glycosaminoglycan (sHA3) decorated biomaterial for pro-osteogenic scavenging of Wnt antagonists
Pharmaceutics 12 (2020) 1037, DOI: 10.1088/2399-7532/abaa2d
- A. Hans, C. Küstner-Wetekam, P. Schmidt, C. Ozga, X. Holzapfel, H. Otto, C. Zindel, C. Richter, L.S. Cederbaum, A. Ehresmann, U. Hergenhahn, N.V. Kryzhevoi, A. Knie
Core-level interatomic Coulombic decay in van der Waals clusters
Phys. Rev. Res. 2 (2020) 012022, DOI: 10.1103/PhysRevResearch.2.012022
- T. Heisig, J. Kler, H. Du, C. Baeumer, F. Hensling, M. Glöß, M. Moors, A. Locatelli, T.O. Mentes, F. Genuzio, J. Mayer, R.A. De Souza, R. Dittmann
Antiphase boundaries constitute fast cation diffusion paths in SrTiO3 memristive devices
Adv. Funct. Mater. (2020) 2004118, DOI: 10.1002/adfm.202004118
- A. Hodes, S. Hamblyn, M. Schmidt, U. Käppeler, A. Berlich, A. Prager, L. Engisch
The use of infrared and Raman microscopy to characterise the absorption of offset ink in paper
J. Print Media Technol. Res. 9 (2020) 31-39, DOI: 10.14622/JPMTR-2002
- B. Hoffmann, T.K. Esser, B. Abel, K.R. Asmis
Electronic action spectroscopy on single nanoparticles in the gas phase
J. Phys. Chem. Lett. 11 (2020) 6051-6056, DOI: 10.1021/acs.jpclett.0c01945
- P. Hu, W. Qiu, S. Naumov, T. Scherzer, Z. Hu, Q. Chen, W. Knolle, Z. Li
Conjugated bifunctional carbazole-based oxime esters: efficient and versatile photoinitiators for 3D printing under one- and two-photon excitation
ChemPhotoChem 4 (2020) 224-232, DOI: 10.1002/cptc.201900246
- T. Jahnke, U. Hergenhahn, B. Winter, R. Dörner, U. Frühling, P.V. Demekhin, K. Gokhberg, L.S. Cederbaum, A. Ehresmann, A. Knie, A. Dreuw
Interatomic and intermolecular Coulombic decay
Chem. Rev. 120 (2020) 11295-11369, DOI: 10.1021/acs.chemrev.0c00106
- P. Jauch, A. Weidner, S. Riedel, N. Wilharm, S. Dutz, S.G. Mayr
Collagen-iron oxide nanoparticle based ferrogel: large reversible magnetostrains with potential for bioactuation
Multifunct. Mater. 3 (2020) 035001, DOI: 10.3390/pharmaceutics12111037
- T. John, J. Bandak, N. Sarveson, C. Hackl, H.J. Risselada, A. Prager, C. Elsner, B. Abel
Growth, polymorphism, and spatially controlled surface immobilization of biotinylated variants of IAPP21-27 fibrils
Biomacromolecules 21 (2020) 783-792, DOI: 10.1021/acs.biomac.9b01466
- K. Juncheed, B. Kohlstrunk, S. Friebe, V. Dallacasagrande, P. Maurer, A. Reichenbach, S.G. Mayr, M. Zink
Employing nanostructured scaffolds to investigate the mechanical properties of adult mammalian retinae under tension
Int. J. Mol. Sci. 21 (2020) 3889, DOI: 10.3390/ijms21113889
- F. Kazemi, T. Arnold, P. Lorenz, M. Ehrhardt, K. Zimmer
Residual layer removal of technical glass resulting from reactive atmospheric plasma jet etching by pulsed laser irradiation
Plasma Chem. Plasma Process 40 (2020) 1241-1251, DOI: 10.1007/s11090-020-10101-2
- F. Kazemi, G. Boehm, T. Arnold
An investigation on effectiveness of temperature treatment for fluorine-based reactive plasma jet machining of N-BK7®
Plasma Process Polym. 17 (2020) e2000016, DOI: 10.1002/ppap.202000016
- F. Klenner, F. Postberg, J. Hillier, N. Khawaja, R. Reviol, F. Stolz, M.L. Cable, B. Abel, L. Noelle
Analog experiments for the identification of trace biosignatures in ice grains from extraterrestrial ocean worlds
Astrobiology 20 (2020) 179-189, DOI: 10.1089/ast.2019.2065
- F. Klenner, F. Postberg, J. Hillier, N. Khawaja, M.L. Cable, B. Abel, S. Kempf, C.R. Glein, J.I. Lunine, R. Hodyss, R. Reviol, F. Stolz
Discriminating abiotic and biotic fingerprints of amino acids and fatty acids in ice grains relevant to ocean worlds
Astrobiology 20 (2020) 1168-1184, DOI: 10.1089/ast.2019.2188
- N. Kumar, R.P. Lamba, A.M. Hossain, A. Abhishek, R. Prakash
Effect of tapered interelectrode gap region on pseudospark-sourced electron beam emission
IEEE Trans. Electron Devices 67 (2020) 1211-1214, DOI: 10.1109/TED.2019.2962872
- S. Lai, M. Ehrhardt, P. Lorenz, J. Lu, B. Han, K. Zimmer
Data on single pulse fs laser induced submicron bubbles in the subsurface region of soda-lime glass
Data in Brief 29 (2020) 105193, DOI: 10.1016/j.dib.2020.105193
- S. Lai, M. Ehrhardt, P. Lorenz, D. Hirsch, J. Zajadacz, J. Lu, B. Han, K. Zimmer
Submicron bubbles/voids formation in the subsurface region of soda-lime glass by single pulse fs laser-induced spallation
Appl. Surf. Sci. 502 (2020) 144134, DOI: 10.1016/j.apsusc.2019.144134
- R.P. Lamba, A.M. Hossain, A. Agarwal, R. Prakash
Investigations of discharge sustenance in a dielectric barrier-based microhollow cathode
IEEE Trans. Plasma Sci. 48 (2020) 3679-3685, DOI: 10.1109/TPS.2020.3025073
- C. Laube, J.A. Taut, J. Kretzschmar, S. Zahn, W. Knolle, S. Ullmann, A. Kahnt, B. Kersting, B. Abel
Light controlled oxidation by supramolecular Zn(II) Schiff-base complexes
Inorg. Chem. Front. 7 (2020) 4333-4346, DOI: 10.1039/D0QI00980F
- X. Li, M. Ehrhardt, P. Lorenz, B. Han, S. Lai, K. Zimmer, L. Xu, P. Nan, X. Ni
Influence of surface treatment with infrared nanosecond laser on adhesion performance of adhesion-bonded carbon fiber/epoxy composite
J. Adhes. Sci. Technol. 34 (2020) 1399-1425, DOI: 10.1080/01694243.2019.1710990
- S. Liedtke-Grüner, C. Grüner, A. Lotnyk, J.W. Gerlach, B. Rauschenbach
Biaxially textured titanium thin films by oblique angle deposition: conditions and growth mechanisms
Phys. Status Solidi A 217 (2020) 1900636, DOI: 10.1002/pssa.201900636
- N. Liu, S. Mohajernia, N.T. Nguyen, S. Hejazi, F. Plass, A. Kahnt, T. Yokosawa, A. Osvet, E. Spiecker, D.M. Guldi, P. Schmuki
Long-living holes in grey anatase TiO2 enable noble-metal-free and sacrificial-agent-free water splitting
ChemSusChem 13 (2020) 4937-4944, DOI: 10.1002/cssc.202001045
- X.B. Lu, X. Yang, M. Tariq, F. Li, M. Steimecke, J. Li, A. Varga, M. Bron, B.Abel
Plasma-etched functionalized graphene as a metal-free electrode catalyst in solid acid fuel cells
J. Mater. Chem. A 8 (2020) 2445-2452, DOI: 10.1039/C9TA10821A
- D. Manova, P. Schlenz, J.W. Gerlach, S. Mändl,Depth-Resolved Phase Analysis of Expanded Austenite formed in Austenitic Stainless Steel, Coatings 10 (2020) 1250, DOI: 10.3390/coatings10121250
- Y. Mindarava, R. Blinder, C. Laube, W. Knolle, B. Abel, C. Jentgens, J. Isoya, J. Scheuer, J. Lang, I. Schwartz, B. Naydenov, F. Jelezko
Efficient conversion of nitrogen to nitrogen-vacancy centers in diamond particles with high-temperature electron irradiation
Carbon 170 (2020) 182-190, DOI: 10.1016/j.carbon.2020.07.077
- Y. Mindarava, R. Blinder, Y. Liu, J. Scheuer, J. Lang, V. Agafonov, V.A. Davydov, C. Laube, W. Knolle, B. Abel, B. Naydenov, F. Jelezko
Synthesis and coherent properties of 13C-enriched sub-micron diamond particles with nitrogen vacancy color centers, Carbon 165 (2020) 395-403, DOI: 10.1016/j.carbon.2020.04.071
- K.Yu. Monakhov, W. Wernsdorfer
A cubane-type nickel single-molecule magnet with exchange-biased quantum tunneling of magnetization
Mendeleev Commun. 30 (2020) 168-170, DOI: 10.1016/j.mencom.2020.03.012
- L. Mühlenbein, C.B. Singh, A. Lotnyk, C. Himcinschi, Y. Yun, N. Ramakrishnegowda, D.S. Knoche, X. Li, A. Bhatnagar
Nanocomposites with three-dimensional architecture and impact on photovoltaic effect, Nano Lett. 20 (2020) 8789-8795, DOI: 10.1021/acs.nanolett.0c03654
- Y.M. Narode, B.G. Singh, S. Naumov, K.K.K. Sharma, G.K. Sharma
Gold nanoparticle as a Lewis catalyst for water elimination of tyrosine-OH adducts: a radiation and quantum chemical study
J. Phys. Chem. B 124 (2020) 3591-3601, DOI: 10.1021/acs.jpcb.0c01207
- S. Naumov, B. Herzog, B. Abel
Spectra and photorelaxation of hydroxyphenyl-benzotriazole-type UV absorbers: from monomers to nanoparticles
J. Phys. Chem. A 124 (2020) 625-632, DOI: 10.1021/acs.jpca.9b09883
- J. Nicolas, S. Assali, S. Mukherjee, A. Lotnyk, O. Moutanabbir
Dislocation pipe diffusion and solute segregation during the growth of metastable GeSn
Cryst. Growth Des. 20 (2020) 3493-3498, DOI: 10.1021/acs.cgd.0c00270
- K. Oh, D. Kalanov, A. Anders, C. Bundesmann
Properties of secondary particles for ion beam sputtering of silicon using low-energy oxygen ions
J. Vac. Sci. Technol. A 38 (2020) 033011, DOI: 10.1116/6.0000037
- S.K. Petrovskii, V.V. Khistiaeva, A.A. Sizova, V.V. Sizov, A.V. Paderina, I.O. Koshevoy, K.Y. Monakhov, E.V. Grachova
Hexavanadate-organogold(I) hybrid compounds: synthesis by the azide-alkyne cycloaddition and density functional theory study of an intriguing electron density distribution
Inorg. Chem. 59 (2020) 16122-16126, DOI: 10.1021/acs.inorgchem.0c02621
- F. Plass, D.A. Lukyanov, A.S. Konev, A. Kahnt, K.Y. Amsharov, A.F. Khlebnikov, D.M. Guldi
Controlling the charge transfer mechanism and efficiency by means of different C70 regioisomeric adducts
Small Structures 1 (2020) 2000012, DOI: 10.1002/sstr.202000012
- P. Räcke, R. Wunderlich, J.W. Gerlach, J. Meijer, D. Spemann
Nanoscale ion implantation using focussed highly charged ions
New J. Phys. 22 (2020) 083028, DOI: 10.1088/1367-2630/aba0e6
- N. Ramakrishnegowda, D.S. Knoche, L. Mühlenbein, A. Lotnyk, A. Bhatnagar
Bulk-controlled photovoltaic effect in nanometer-thick ferroelectric Pb(Zr0.2Ti0.8)O3 thin films and the role of domain walls
ACS Appl. Nano Mater. 3 (2020) 11881-11888, DOI: 10.1021/acsanm.0c02455
- M. Rasadujjaman, Y. Wang, L. Zhang, S. Naumov, A.G. Attallah, M.O. Liedke, N. Koehler, M. Redzhe, A.S. Vishnevskiy, D.S. Seregin, Y. Wu, J.Zhang, J. Leu, A. Wagner, K.A. Vorotilov, S.E. Schulz, M.R. Baklanov
A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous structure of organosilicate films with various ratios of methyl terminal and ethylene bridging groups
Micropor. Mesopor. Mat. 306 (2020) 3110434, DOI: 10.1016/j.micromeso.2020.110434
- C. Regmi, S. Lotfi, J.C. Espíndola, K. Fischer, A. Schulze, A.I. Schäfer
Comparison of photocatalytic membrane reactor types for the degradation of an organic molecule by TiO2-coated PES membrane
Catalysts 10 (2020) 725, DOI: 10.3390/catal10070725
- M. Rohdenburg, V.A. Azov, J. Warneke
New perspectives in the noble gas chemistry opened by electrophilic anions
Front. Chem. 8 (2020) 1015, DOI: 10.3389/fchem.2020.580295
- M. Rohdenburg, Z. Yang, P. Su, E. Bernhardt, Q. Yuan, E. Apra, S. Grabowsky, J. Laskin, C. Jenne, X.-B. Wang, J. Warneke
Properties of gaseous closo-[B6X6]2- dianions (X = Cl, Br, I)
Phys. Chem. Chem. Phys. 22 (2020) 17713-17724, DOI: 10.1039/D0CP02581J
- M. Rudolph, N. Brenning, M.A. Raadu, H. Hajihoseini, J.T. Gudmundsson, A. Anders, D. Lundin
Optimizing the deposition rate and ionized flux fraction by tuning the pulse length in high power impulse magnetron sputtering
Plasma Sources Sci. Technol. 29 (2020) 05LT01, DOI: 10.1088/1361-6595/ab8175
- C.-M. Saak, C. Richter, I. Unger, M. Mucke, C. Nicolas, U. Hergenhahn, C. Caleman, M. Huttula, M. Patanen, O. Björneholm
Proton dynamics in molecular solvent clusters as an indicator for hydrogen bond network strength in confined geometries
Phys. Chem. Chem. Phys. 22 (2020) 3264-3272, DOI: 10.1039/C9CP06661F
- A. Setzer, P.D. Esquinazi, O. Daikos, T. Scherzer, A. Pöppl, R. Staacke, T. Lühmann, S. Pezzagna, W. Knolle, S. Buga, B. Abel, J. Meijer
Weak electron irradiation suppresses the anomalous magnetization of N-doped diamond crystals
Phys. Status Solidi B 258 (2021) 2100395, DOI: 10.1002/pssb.202100395
- O. Shayestehpour, S. Zahn
Molecular features of reline and homologous deep eutectic solvents contributing to nonideal mixing behavior
J. Phys. Chem. B 124 (2020) 7586-7597, DOI: 10.1021/acs.jpcb.0c03091
- C. Slavov, T. Fischer, A. Barnoy, H. Shin, A.G. Rao, C. Wiebeler, X. Zeng, Y. Sun, Q. Xu, A. Gutt, K.-H. Zhao, W. Gärtner, X. Yang, I. Schapiro, J. Wachtveitl
The interplay between chromophore and protein determines the extended excited state dynamics in a single-domain phytochrome
Proc. Natl. Acad. Sci. 117 (2020) 16356-16362, DOI: 10.1073/pnas.1921706117
- R. Staacke, R. John, R. Wunderlich, L. Horsthemke, W. Knolle, C. Laube, P. Glösekotter, B. Burchard, B. Abel, J. Meijer
Isotropic scalar quantum sensing of magnetic fields for industrial application
Adv. Quantum Technol. 3 (2020) 2000037, DOI: 10.1002/qute.202000037
- M. Stiller, A.T. N'Diaye, H. Ohldag, J. Barzola-Quiquia, P.D. Esquinazi, T. Amelal, C. Bundesmann, D. Spemann, M. Trautmann, A. Chassé, H. Ben Hamed, W.A. Adeagbo, W. Hergert
Titanium 3d-ferromagnetism with perpendicular anisotropy in defective anatase
Phys. Rev. B 101 (2020) 014412, DOI: 10.1103/PhysRevB.101.014412
- M. Streiter, T.G. Fischer, C. Wiebeler, S. Reichert, J. Langenickel, K. Zeitler, C. Deibel
Impact of chlorine on the internal transition rates and excited states of the thermally delayed activated fluorescence molecule 3CzClIPN
J. Phys. Chem. C 124 (2020) 15007-15014, DOI: 10.1021/acs.jpcc.0c03341
- M. Ulitschka, J. Bauer, G. Dornberg, F. Frost, T. Arnold
Local smoothing of optical aluminum surfaces by reactive ion beam etching
Opt. Eng. 59 (2020) 035108, DOI: 10.1117/1.OE.59.3.035108
- M. Ulitschka, J. Bauer, F. Frost, T. Arnold
Ion beam planarization of optical aluminum surfaces
J. Astron. Telesc. Instrum. Syst. 6 (2020) 014001, DOI: 10.1117/1.JATIS.6.1.014001
- S. Ullmann, P. Hahn, P. Mini, K.L. Tuck, A. Kahnt, B. Abel, M.E. Gutierrez Suburu, C.A. Strassert, B. Kersting
Mixed-ligand lanthanide complexes supported by ditopic bis(imino-methyl)-phenol/calix[4]arene macrocycles: synthesis, structures, and luminescence properties of [Ln2(L2)(MeOH)2] (Ln = La, Eu, Tb, Yb)
Dalton Trans. 49 (2020) 11179-11191, DOI: 10.1039/D0DT02303E
- C.A. Urbina-Blanco, S.Z. Jilani, I.R. Speight, M.J. Bojdys, T. Friščić, J. Fraser Stoddart, T.L. Nelson, J. Mack, R.A.S. Robinson, E.A. Waddell, J.L. Lutkenhaus, M. Godfrey, M.I. Abboud, S.O. Aderinto, D. Aderohunmu, L. Bibič, J. Borges, V.M. Dong, L. Ferrins, F.M. Fung, T. John, F.P.L. Lim, S.L. Masters, D. Mambwe, P. Thordarson, M.-M. Titirici, G.D. Tormet-González, M.M. Unterlass, A. Wadle, V.W.-W. Yam, Y.-W. Yang
A diverse view of science to catalyse change
Nat. Chem. 12 (2020) 773-776, DOI: 10.1038/s41557-020-0529-x
- A.S. Vishnevskiy, S. Naumov, D.S. Seregin, Y.-H. Wu, W.-T. Chuang, Md. Rasadujjaman, J. Zhang, J. Leu, K.A. Vorotilov, M.R. Baklanov
Effects of methyl terminal and carbon bridging groups ratio on critical properties of porous organosilicate-glass films
Materials 13 (2020) 4484, DOI: 10.3390/ma13204484
- M. Wagner, O. Lorenz, F.-P. Lohmann-Richters, A. Varga, B. Abel
On the role of local heating in cathode degradation during the oxygen reduction reaction in solid acid fuel cells
Sustain. Energy Fuels 4 (2020) 5284-5293, DOI: 10.1039/D0SE00842G
- G. Wang, H. Shi, A. Lotnyk, D. Shi, R. Wang
Conversion of p-n conduction type by spinodal decomposition in Zn-Sb-Bi phase-change alloys
NPG Asia Mater. 12 (2020) 17, DOI: 10.1038/s41427-020-0197-8
- G. Wang, C. Chen, H. Shi, Y. Chen, X. Shen, A. Lotnyk
The realization of insulator-metal transition in a p-type metastable ZnSb by dual-phase nanostructure
Scr. Mater. 186 (2020) 163-168, DOI: 10.1016/j.scriptamat.2020.05.034
- M. Wild, F. Stolz, S. Naumov, B. Abel
On the in situ formation of carbenes in ionic liquids
Mol. Phys. 119 (2021) e1974589, DOI: 10.1080/00268976.2021.197458
- M. Wilhelm, M. Giesen, T. Duchon, M. Moors, D.N. Mueller, J. Hackl, C. Baeumer, M. Hussein Hamed, L. Cao, H. Zhang, O. Petracic, M. Glöß, S. Cramm, S. Nemsák, C. Wiemann, R. Dittmann, C.M. Schneider, M. Müller
Photoemission electron microscopy of magneto-ionic effects in La0.7Sr0.3MnO3
APL Mater. 8 (2020) 111102, DOI: 10.1063/5.0022150 - P.C. With, U. Helmstedt, L. Prager
Flexible transparent barrier applications of oxide thin films prepared by photochemical conversion at low temperature and ambient pressure
Front. Mater. 7 (2020) 200, DOI: 10.3389/fmats.2020.00200
- R. Wunderlich, R. Staacke, W. Knolle, B. Abel, J. Meijer,
Magnetic field and angle-dependent photoluminescence of a fiber-coupled nitrogen vacancy rich diamond
J. Appl. Phys. 130 (2021) 124901, DOI: 10.1063/5.0059330
- F. Yang, K.A. Behrend, H. Knorke, M. Rohdenburg, A. Charvat, C. Jenne, B. Abel, J. Warneke
Anion-anion chemistry with mass-selected molecular fragments on surfaces
Angew. Chem. Int. Ed. 60 (2021) 24910-24914, DOI: 10.1002/anie.202109249
- G. Yang, D. Hirsch, J. Li, Y. Liu, F. Frost, Y. Hong
Nanohole morphologies on photoresist surface produced by low-energy Ar+ ion bombardment under normal and near-normal incidence
Appl. Surf. Sci. 533 (2020) 147515, DOI: 10.1016/j.apsusc.2020.147515
- G. Yang, D. Hirsch, J. Li, Y. Liu, F. Frost, Y. Hong
Energy dependence of morphologies on photoresist surfaces under Ar+ ion bombardment with normal incidence
Appl. Surf. Sci. 523 (2020) 146510, DOI: 10.1016/j.apsusc.2020.146510
- Z. Yang, A.C. Araujo Martínez, S.V. Muley, X. Wang, Q. Ji, A. Anders
Vanadium oxide coatings to self-regulate current sharing in high-temperature superconducting cables and magnets
J. Appl. Phys. 128 (2020) 055105, DOI: 10.1063/5.0013783
- J. Zech, S. Leisz, B. Göttel, F. Syrowatka, A. Greiner, C. Strauss, W. Knolle, C. Scheller, K. Mäder
Electrospun Nimodipine-loaded fibers for nerve regeneration: development and in vitro performance
Eur. J. Pharm. Biopharm. 151 (2020) 116-126, DOI: 10.1016/j.ejpb.2020.03.021
- H. Zhu, H. Zhang, X. Ni, Z. Shen, J. Lu, P. Lorenz, K. Zimmer
Erratum: “Picosecond laser lift-off method for fracture and debonding of copper oxide layer grown on copper substrate” [J. Laser Appl. 31, 042015 (2019)]
J. Laser Appl. 32 (2020) 019902, DOI: 10.2351/1.5143177
- A. Zieleniewska, X. Zhao, S. Bauroth, C. Wang, A.S. Batsanov, C. Krick Calderon, A. Kahnt, T. Clark, M.R. Bryce, D. M. Guldi
Resonance-enhanced charge delocalization in carbazole-oligoyne-oxadiazole conjugates
J. Am. Chem. Soc. 142 (2020) 18769-18781, DOI: 10.1021/jacs.0c04003
- S. Ziganshyna, A. Guttenberger, N. Lippmann, S. Schulz, S. Bercker, A. Kahnt, T. Rüffer, A. Voigt, K. Gerlach, R. Werdehausen
Tetrahydroporphyrin-tetratosylate (THPTS)-based photodynamic inactivation of critical multidrug-resistant bacteria in vitro
Int. J. Antimicrob. Agents 55 (2020) 105976, DOI: 10.1016/j.ijantimicag.2020.105976
- K. Zimmer, J. Zajadacz, P. Lorenz, A. Mayer, M. Papenheim, H.C. Scheer
Shear force measurement of actuated, gecko-inspired adhesion elements with hierarchical PDMS pattern
J. Micromech. Microeng. 30 (2020) 025008, DOI: 10.1088/1361-6439/ab60bd
- S. Zöhrer, M. Golizadeh, N. Koutná, D. Holec, A. Anders, R. Franz
Erosion and cathodic arc plasma of Nb-Al cathodes: composite versus intermetallic
Plasma Sources Sci. Technol. 29 (2020) 025022, DOI: 10.1088/1361-6595/ab5e32
Aufsätze in anderen Zeitschriften 2020
- F. Kazemi, G. Boehm, T. Arnold
Optical freeform generation of N-BK7 by fluorine-based plasma jet machining
Proc. SPIE 11478, Seventh European Seminar on Precision Optics Manufacturing (2020) 1147805, DOI: 10.1117/12.2564913
- A. Schulze
Veredelte Polymermembranen für die Wasseraufbereitung
wwt Wasserwirtschaft Wassertechnik 6 (2020) 29-31
Einzelbeiträge in Sammelwerken 2020
- T. Herzig, T. Lühmann, P. Räcke, C. Scheuner, S. Pezzagna, J. Meijer
Color center formation by deterministic single ion implantation, Semiconductors and Semimetals 104
Diamond for Quantum Applications Part 2 (2020) 1-30, DOI: 10.1016/bs.semsem.2020.09.001
- D. Lundin, A. Hecimovic, T. Minea, A. Anders, N. Brenning, J.T. Gudmundsson
Physics of high power impulse magnetron sputtering discharges
High Power Impulse Magnetron Sputtering (2020) 265-332, DOI: 10.1016/B978-0-12-812454-3.00012-7
Aufsätze in Zeitschriften mit Begutachtungssystem 2019
- P. Ahrens, M. Zander, D. Hirsch, U. Hasse, H. Wulff, F. Frost, F. Scholz
Influence of argon ion beam etching and thermal treatment on polycrystalline and single crystal gold electrodes Au(100) and Au(111)
J. Electroanal. Chem. 832 (2019) 233-240", DOI: 10.1016/j.jelechem.2018.10.066
- R.K. Al-Shewiki, M. Korb, A. Hildebrandt, S. Zahn, S. Naumov, R. Buschbeck, T. Rüffer, H. Lang
Diaqua-?-octaferrocenyltetraphenylporphyrin: a multiredox-active and air-stable 16? non-aromatic species
Dalton Trans. 48 (2019) 1578-1585, DOI: 10.1039/C8DT04135K
- J. Bandak, J. Petzold, H. Hatahet, A. Prager, B. Kersting, Ch. Elsner, B. Abel
Interconnected electrocatalytic Pt-metal networks by plasma treatment of nanoparticle-peptide fibril assemblies
RSC Adv. 9 (2019) 5558-5569, DOI: 10.1039/c8ra08201d
- B.S. Basel, C. Hetzer, J. Zirzlmeier, D. Thiel, R. Guldi, F. Hampel, A. Kahnt, T. Clark, D.M. Guldi, R.R. Tykwinski
Davydov splitting and singlet fission in excitonically coupled pentacene dimers
Chem. Sci. 10 (2019) 3854-3863, DOI: 10.1039/C9SC00384C
- F. Bauer, R. Meyer, S. Czihal, M. Bertmer, U. Decker, S. Naumov, H. Uhlig, M. Steinhart, D. Enke
Functionalization of mesoporous siliceous materials, Part 2: Surface characterization by inverse gas chromatography
J. Chrom. A 1603 (2019) 297-310, DOI: 10.1016/j.chroma.2019.06.031
- J. Bauer, F. Frost, A. Lehmann, M. Ulitschka, Y. Li, T. Arnold
Finishing of metal optics by ion beam technologies
Opt. Eng. 58 (2019) 092612, DOI: 10.1117/1.OE.58.9.092612
- M. Behrens, A. Lotnyk, J.W. Gerlach, M. Ehrhardt, P. Lorenz, B. Rauschenbach
Direct measurement of crystal growth velocity in epitaxial phase-change material thin films
ACS Appl. Mater. Interfaces 11 (2019) 41544-41550, DOI: 10.1021/acsami.9b16111
- M. Berndt-Paetz, P. Schulze, P.C. Stenglein, A. Weimann, Q. Wang, L.-C. Horn, Y.M. Riad, J. Griebel, R. Hermann, A. Glasow, J.-U. Stolzenberg, J. Neuhaus
Reduction of muscle-invasive tumors by photodynamic therapy with tetrahydroporphyrin-tetratosylat in an orthotopic rat bladder cancer model
Mol. Cancer Ther. 18 (2019) 743-750, DOI: 10.1158/1535-7163.MCT-18-1194
- P. Bielytskyi, D. Gräsing, S. Zahn, A. Alia, J. Matysik
15N-1H Transfer of Light-Induced Nuclear Hyperpolarization in Frozen Photosynthetic Reaction Centers
Appl. Magn. Reson. 50 (2019) 695-708, DOI: 10.1007/s00723-019-1110-x
- P. Bielytskyi, D. Gräsing, S. Zahn, K.D. Mote, A. Alia, P.K. Madhu, J. Matysik
Assignment of NMR resonances of protons covalently bound to photochemically active cofactors in photosynthetic reaction centers by 13C-1H photo-CIDNP MAS-J-HMQC experiment
J. Magn. Reson. 298 (2019) 64-76, DOI: 10.1016/j.jmr.2018.11.013
- L. Botsch, I. Lorite, Y. Kumar, P.D. Esquinazi, J. Zajadacz, K. Zimmer
All-Semiconducting Spin Filter Prepared by Low-Energy Proton Irradiation
ACS Appl. Electron. Mater. 1 (2019) 1832-1841, DOI: 10.1021/acsaelm.9b00369
- D. Breite, M. Went, A. Prager, M. Kühnert, A. Schulze
Charge Separating Microfiltration Membrane with pH-Dependent Selectivity
Polymers 11 (2019) 3, DOI: 10.3390/polym11010003
- C. Bundesmann, T. Amelal
Secondary particle properties for the ion beam sputtering of TiO2 in a reactive oxygen atmosphere
Appl. Surf. Sci. 485 (2019) 391-401, DOI: 10.1016/j.apsusc.2019.04.078
- F. Clauder, A.S. Czerniak, S. Friebe, S.G. Mayr, D. Scheinert, A.G. Beck-Sickinger
Endothelialization of Titanium Surfaces by Bioinspired Cell Adhesion Peptide Coatings
Bioconjugate Chem. 30 (2019) 2664-2674, DOI: 10.1021/acs.bioconjchem.9b00573
- O. Daikos, K. Heymann, T. Scherzer
Development of a PLS Approach for the Determination of the Conversion in UV-Cured White-Pigmented Coatings by NIR Chemical Imaging and its Transfer to Other Substrates
Prog. Org. Coat. 132 (2019) 116-124, DOI: 10.1016/j.porgcoat.2019.03.008
- R. Das, M. Kuehnert, A.S. Kazemi, Y. Abdi, A. Schulze
Water Softening Using Light Responsive Spiropyran Modified Nanofiltration Membrane
Polymers 11 (2019) 344", DOI: 10.3390/polym11020344
- A. Delavari, D. Breite, A. Schulze, R.E. Baltus
Latex particle rejections from virgin and mixed charged surface
J. Membr. Sci. 584 (2019) 110-119, DOI: 10.1016/j.memsci.2019.04.065
- M. Deppe, J.W. Gerlach, S. Shvarkov, D. Rogalla, H.-W. Becker, D. Reuter, D.J. As
Germanium doping of cubic GaN grown by molecular beam epitaxy
J. Appl. Phys. 125 (2019) 095703
- M. Deuflhard, D. Eberbeck, P. Hietschold, N. Wilharm, M. Mühlberger, R.P. Friedrich, C. Alexioud, S.G. Mayr
Magnetically responsive composites: electron beam assisted magnetic nanoparticle arrest in gelatin hydrogels for bioactuation
Phys. Chem. Chem. Phys. 27 (2019) 14654-14662, DOI: 10.1039/C9CP02910A
- M. Ehrhardt, B. Han, F. Frost, P. Lorenz, K. Zimmer
Generation of laser-induced periodic surface structures (LIPSS) in fused silica by single NIR nanosecond laser pulse irradiation in confinement
Appl. Surf. Sci. 470 (2019) 56-62, DOI: 10.1016/j.apsusc.2018.11.119
- C. Eichhorn, F. Scholze, C. Bundesmann, D. Spemann, H. Neumann, H. Leiter
Two-Photon Laser-Induced Fluorescence in a Radiofrequency Ion Thruster Plume in Krypton
J. Propul. Power 35 (2019) 1175-1178, DOI: 10.2514/1.B37487
- H. Ennaceri, B. Abel
Conversion of carbon dioxide into storable solar fuels using solar energy
IOP Conf. Ser.: Earth Environ. Sci. 291 (2019) 012038, DOI: 10.1088/1755-1315/291/1/012038
- M.S. Fahmey, A.-H.M. El-Aassara, M.M. Abo-Elfadel, A.S. Orabib, R. Das
Comparative performance evaluations of nanomaterials mixed polysulfone: a scale-up approach through vacuum enhanced direct contact membrane distillation for water desalination
Desalination 451 (2019) 111-116, DOI: 10.1016/j.desal.2017.08.020
- A. Finzel, F. Koch, G. Dornberg, D. Lehr, F. Frost, T. Glaser
Reactive ion beam etching of highly dispersive, high-efficiency transmission gratings for the VIS range
Opt. Eng. 58 (2019) 092614, DOI: 10.1117/1.OE.58.9.092614
- S. Friebe, S.G. Mayr
Regeneration of TiO2 Nanotube Arrays after Long-Term Cell and Tissue Culture for Multiple Use - an Environmental Scanning Electron Microscopy (ESEM) Survey of Adult Pig Retina and beyond
Biol. Proced. Online 21 (2019) 2, DOI: 10.1186/s12575-019-0090-4
- S. Glass, M. Kühnert, B. Abel, A. Schulze
Controlled Electron-Beam Synthesis of Transparent Hydrogels for Drug Delivery Applications
Polymers 11 (2019) 501, DOI: 10.3390/polym11030501
- M. Glöß, R. Pütt, M. Moors, E. Kentzinger, W. Pyckhout-Hintzen, K.Y. Monakhov
Interplaying the amphipathic polyoxometalate interactions in solution and at solid-liquid interfaces: A toolbox for the technical application
Nanoscale 11 (2019) 4267-4277, DOI: 10.1039/C8NR08008A
- S. Gortschakow, D. Kalanov, Yu. Golubovskii
Influence of resonance radiation transport on chemical equilibrium in an argon arc
Plasma Phys. Technol. 6 (2019) 119-122, DOI: 10.14311/ppt.2019.2.119
- D. Gräsing, K. M. Dziubi?ska-Kühn, S. Zahn, A. Alia, J. Matysik
Studying hydrogen bonding and dynamics of the acetylate groups of the Special Pair of Rhodobacter sphaeroides WT
Sci. Rep. 9 (2019) 10528, DOI: 10.1038/s41598-019-46903-4
- R. Haldar, K. Batra, S.M. Marschner, A.B. Kuc, S. Zahn, R.A. Fischer, S. Bräse, T. Heine, C. Wöll
Bridging the Green Gap: Metal-Organic Framework Heteromultilayers Assembled from Porphyrinic Linkers Identified by Using Computational Screening
Chem. Eur. J. 25 (2019) 7847-7851, DOI: 10.1002/chem.201901585
- A. Hans, C. Ozga, P. Schmidt, G. Hartmann, A. Nehls, P. Wenzel, C. Richter, C. Lant, X. Holzapfel, J.H. Viehmann, U. Hergenhahn, A. Ehresmann, A. Knie
Setup for multicoincidence experiments of photons in the extreme ultraviolet to visible spectral range and charged particles - The solid angle maximization approach
Rev. Sci. Instrum. 90 (2019) 093104, DOI: 10.1063/1.5109104
- A. Hans, T. Miteva, X. Holzapfel, C. Ozga, P. Schmidt, H. Otto, G. Hartmann, C. Richter, N. Sisourat, A. Ehresmann, K. Gokhberg, U. Hergenhahn, A. Knie
Electronic decay of singly charged ground-state ions by charge transfer via van der Waals bonds
Phys. Rev. Lett. 123 (2019) 213001, DOI: 10.1103/PhysRevLett.123.213001
- A. Hans, P. Schmidt, C. Ozga, C. Richter, H. Otto, X. Holzapfel, G. Hartmann, A. Ehresmann, U. Hergenhahn, A. Knie
Efficient fluorescence quenching by distant production of a free electron
J. Phys. Chem. Lett. 10 (2019) 1078-1082, DOI: 10.1021/acs.jpclett.9b00124
- R. Hesse, C. Bundesmann, R. Denecke
Automatic Spike Correction using UNIFIT 2020
Surf. Interface Anal. 51 (2019) 1342-1350, DOI: 10.1002/sia.6702
- I. Hilmi, A. Lotnyk, J.W. Gerlach, P. Schumacher, B. Rauschenbach
Influence of substrate dimensionality on the growth mode of epitaxial 3D-bonded GeTe thin films: From 3D to 2D growth
Mater. Des. 168 (2019) 107657, DOI: 10.1016/j.matdes.2019.107657
- A. Holm, S.G. Mayr
Thermal and structural properties of the martensitic transformations in Fe7Pd3 shape memory alloys: an ab initio-based molecular dynamics study
New J. Phys. 21 (2019) 063007, DOI: 10.1088/1367-2630/ab20f0
- B. Holzer, M. Lunzer, A. Rosspeintner, G. Licari, M. Tromayer, S. Naumov, D. Lumpi, E. Horkel, C. Hametner, A. Ovsianikov, R.Liska, E. Vauthey, J. Fröhlich
Towards Efficient Initiators for Two-Photon Induced Polymerization: Fine Tuning of the Donor/Acceptor Properties
Mol. Syst. Des. Eng. 4 (2019) 437-448, DOI: 10.1039/C8ME00101D
- L. Jablonowski, T. Kocher, A. Schindler, K. Müller, F. Dombrowski, T. von Woedtke, T. Arnold, A. Lehmann, S. Rupf, M. Evert, K. Evert
Side effects by oral application of atmospheric pressure plasma on the mucosa in mice
PLoS ONE 14 (2019) e0215099, DOI: 10.1371/journal.pone.0215099
- T. John, T.J.A. Dealey, N.P. Gray, N.A. Patil, M.A. Hossain, B. Abel, J.A. Carver, Y. Hong, L.L. Martin
The Kinetics of Amyloid Fibrillar Aggregation of Uperin 3.5 Is Directed by the Peptide's Secondary Structure
Biochemistry 58 (2019) 3656-3668, DOI: 10.1021/acs.biochem.9b00536
- T. John, G.W. Greene, N.A. Patil, T.J.A. Dealey, M.A. Hossain, B. Abel, L.L. Martin
Adsorption of Amyloidogenic Peptides to Functionalized Surfaces Is Biased by Charge and Hydrophilicity
Langmuir 35 (2019) 14522-14531, DOI: 10.1021/acs.langmuir.9b02063
- D. Kalanov, A. Anders, C. Bundesmann
Ion beam sputtering of silicon: Energy distributions of sputtered and scattered ions
J. Vac. Sci. Technol. A 37 (2019) 051507, DOI: 10.1116/1.5114973
- F. Kazemi, G. Boehm, T. Arnold
Development of a model for ultra-precise surface machining of N-BK7® using microwave-driven reactive plasma jet machining
Plasma Process Polym. 16 (2019) 1900119, DOI: 10.1002/ppap.201900119
- K.S. Kisel, A.S. Melnikov, E.V. Grachova, A.J. Karttunen, A. Doménech-Carbó, K.Y. Monakhov, V.G. Semenov, S.P. Tunik, I.O. Koshevoy
Supramolecular construction of cyanide-bridged ReI diimine multichromophores
Inorg. Chem. 58 (2019) 1988-2000", DOI: 10.1021/acs.inorgchem.8b02974
- F. Klenner, F. Postberg, J. Hillier, N. Khawaja, R. Reviol, R. Srama, B. Abel, F. Stolz, S. Kempf
Analogue spectra for impact ionization mass spectra of water ice grains obtained at different impact speeds in space
Rapid Comm. Mass Spectrom. 33 (2019) 1751-1760, DOI: 10.1002/rcm.8518
- J. Klose, T. Severin, P. Hahn, A. Jeremies, J. Bergmann, D. Fuhrmann, J. Griebel, B. Abel, B. Kersting
Coordination chemistry and photoswitching of dinuclear macrocyclic cadmium-, nickel-, and zinc complexes containing azobenzene carboxylato co-ligands
Beilstein J. Org. Chem. 15 (2019) 840-851, DOI: 10.3762/bjoc.15.81
- J. Kolbeck, A. Anders, I. Beilis, M. Keidar
Micro-propulsion based on vacuum arcs
J. Appl. Phys. 125 (2019) 220902, DOI: 10.1063/1.5081096
- I. Lacey, R.D. Geckler, A. Just, F. Siewert, Th. Arnold, H. Paetzelt, B.V. Smith, V.V. Yashchuk
Optimization of the size and shape of the scanning aperture in autocollimator-based deflectometric profilometers
Rev. Sci. Instrum. 90 (2019) 021717, DOI: 10.1063/1.5058710
- S. Lai, P. Lorenz, M. Ehrhardt, B. Han, D. Hirsch, I. Zagoranskiy, J. Lu, K. Zimmer
Laser-induced frontside etching of silicon using 1550-nm nanosecond laser pulses
Opt. Lasers Eng. 122 (2019) 245-253, DOI: 10.1016/j.optlaseng.2019.06.012
- S. Lai, P. Lorenz, M. Ehrhardt, B. Han, J. Lu, K. Zimmer
Dot Line Pattern Formation in Photoresist Films by Mask-Guided LIPSS Formation Due to Excimer Laser Irradiation
J. Laser Micro Nanoen. 14 (2019) 124-128, DOI: 10.2961/jlmn.2019.02.0002
- C. Laube, T. Oeckinghaus, J. Lehnert, J. Griebel, W. Knolle, A. Denisenko, A. Kahnt, J. Meijer, J. Wrachtrup, B. Abel
Controlling the fluorescence properties of nitrogen vacancy centers in nanodiamonds
Nanoscale 11 (2019) 1770-1783", DOI: 10.1039/C8NR07828A
- S. Liedtke-Grüner, C. Grüner, A. Lotnyk, J.W. Gerlach, M. Mensing, P. Schumacher, B. Rauschenbach
Crystallinity and texture of molybdenum thin films obliquely deposited at room temperature
Thin Solid Films 685 (2019) 8-16, DOI: 10.1016/j.tsf.2019.05.062
- X. Liu, Z. Di, P.K. Chu, S. Mändl
14th International Conference on Plasma-Based Ion Implantation and Deposition
Surf. Coat. Technol. 365 (2019) 1, DOI: 10.1016/j.surfcoat.2019.03.052
- A. Lotnyk, M. Behrens, B. Rauschenbach
Phase change thin films for non-volatile memory applications
Nanoscale Adv. 1 (2019) 3836-3857", DOI: 10.1039/c9na00366e
- A. Lotnyk, T. Dankwort, I. Hilmi, L. Kienle, B. Rauschenbach
Atomic-scale observation of defects motion in van der-Waals layered chalcogenide based materials
Scr. Mater. 166 (2019) 154-158, DOI: 10.1016/j.scriptamat.2019.03.024
- A. Lotnyk, T. Dankwort, I. Hilmi, L. Kienle, B. Rauschenbach
In situ observations of reversible vacancy ordering process in van der Waals-bonded Ge-Sb-Te thin films and GeTe-Sb2Te3 superlattices
Nanoscale 11 (2019) 10838-10845, DOI: 10.1039/C9NR02112D
- X. Luo, S. Chen, L. Liu, J. Lv, A. Qadir, K. Shehzad, X. Qiao, Y. Xu, L. Kienle, A. Lotnyk, X. Zhang, G. Qian, X. Fan
Micron-Scale Photodetectors Based on One-Dimensional Single-Crystalline Sb2-xSnxSe3 Microrods: Simultaneously Improving Responsivity and Extending Spectral Response Region
J. Phys. Chem. C 123 (2019) 810-816, DOI: 10.1021/acs.jpcc.8b09469
- S. Mändl, D. Manova
Modification of Metals by Plasma Immersion Ion Implantation
Surf. Coat. Technol. 365 (2019) 83-93, DOI: 10.1016/j.surfcoat.2018.04.039
- D. Manova, S. Mändl
In situ XRD measurements to explore phase formation in the near surface region
J. Appl. Phys. 126 (2019) 200901, DOI: 10.1063/1.5126636
- D. Manova, S. Mändl
Dynamic Measurements of Optical Emission during Plasma Immersion Ion Implantation
Surf. Coat. Technol. 365 (2019) 94-101, DOI: 10.1016/j.surfcoat.2018.05.027
- A. Mayer, W. Ai, J. Rond, J. Staabs, C. Steinberg, M. Papenheim, H.-C. Scheer, M. Tormen, A. Cian, J. Zajadacz, K. Zimmer
Electrically-assisted nanoimprint of block copolymers
J. Vac. Sci. Technol. B 37 (2019) 011601, DOI: 10.1116/1.5048204
- A. Mayer, J. Rond, J. Staabs, M. Leifels, J. Zajadacz, M. Ehrhardt, P. Lorenz, H. Sunagawa, Y. Hirai, K. Zimmer, H.-C. Scheer
Multiple replication of hierarchical structures from polymer masters with anisotropy
J. Vac. Sci. Technol. B 37 (2019) 061601", DOI: 10.1116/1.5120881
- M. Mensing, P. Schumacher, J.W. Gerlach, S. Herath, A. Lotnyk, B. Rauschenbach
Influence of nitrogen ion species on mass-selected low energy ion-assisted growth of epitaxial GaN thin films
Appl. Surf. Sci. 498 (2019) 143830, DOI: 10.1016/j.apsusc.2019.143830 - G. Mirschel, O. Daikos, T. Scherzer
In-line Monitoring of the Thickness Distribution of Adhesive Layers in Black Textile Laminates by Hyperspectral Imaging
Comput. Chem. Eng. 124 (2019) 317-325, DOI: 10.1016/j.compchemeng.2019.01.015
- F. Niefind, A. Neff, S.C.B. Mannsfeld, A. Kahnt, B. Abel
Computational analysis of the orientation persistence length of the polymer chain orientation
Phys. Chem. Chem. Phys. 21 (2019) 21464-21472, DOI: 10.1039/C9CP02944C
- F. Niefind, S. Karande, F. Frost, B. Abel, A. Kahnt
Solvent influence on the surface morphology of P3HT thin films revealed by photoemission electron microscopy
Nanoscale Adv. 1 (2019) 3883-3886, DOI: 10.1039/C9NA00419J
- S. Preissner, A.C. Poehlmann, A. Schubert, A. Lehmann, T. Arnold, O. Nell, S. Rupf
Ex vivo study comparing three cold atmospheric plasma (CAP) sources for biofilm removal on microstructured titanium
Plasma Med. 9 (2019) 1-14, DOI: 10.1615/PlasmaMed.2018027314
- R. Pütt, X. Qiu, P. Koz?owski, H. Gildenast, O. Linnenberg, S. Zahn, R.C. Chiechi, K.Y. Monakhov
Self-assembled monolayers of polyoxovanadates with phthalocyaninato lanthanide moieties on gold surfaces
Chem. Commun. 55 (2019) 13554-13557, DOI: 10.1039/C9CC06852J
- P. Räcke, R. Staacke, J.W. Gerlach, J. Meijer, D. Spemann
Image charge detection statistics relevant for deterministic ion implantation
J. Phys. D: Appl. Phys. 52 (2019) 305103, DOI: 10.1088/1361-6463/ab1d04
- E. Reisz, A. Tekle-Röttering, S. Naumov, W. Schmidt, T.C. Schmidt
Reaction of 1-propanol with ozone in aqueous media
Int. J. Mol. Sci. 20 (2019) 4165, DOI: 10.3390/ijms20174165
- S. Riedel, P. Hietschold, C. Krömmelbein, T. Kunschmann, R. Konieczny, W. Knolle, C.T. Mierke, M. Zink, S.G. Mayr
Design of biomimetic collagen matrices by reagent-free electron beam induced crosslinking: Structure-property relationships and cellular response
Mater. Des. 168 (2019) 107606, DOI: 10.1016/j.matdes.2019.107606
- H.J. Risselada
Cholesterol: the plasma membrane's constituent that chooses sides
Biophys. J. 116 (2019) 2235-223, DOI: 10.1016/j.bpj.2019.05.003
- S. Schmitz, X. Qiu, M. Glöß, J. van Leusen, N.V. Izarova, M.A. Nadeem, J. Griebel, R.C. Chiechi, P. Kögerler, K.Y. Monakhov
Conductive Self-Assembled Monolayers of Paramagnetic {CoIICoIII4} and {CoII4CoIII2} Coordination Clusters on Gold Surfaces
Front. Chem. 7 (2019) 681, DOI: 10.3389/fchem.2019.00681
- S. Schmitz, N.V. Izarova, C. Besson, J. van Leusen, P. Kögerler, K.Y. Monakhov
Ion-directed coordinative polymerization of copper(II) pyridyl-alcohol complexes through thiane functionalities
Z. Anorg. Allg. Chem. 645 (2019) 409-415, DOI: 10.1002/zaac.201800469
- D.S. Seregin, S. Naumov, W.-Y. Chang, Y.-H. Wu, Y. Wang, N.M. Kotova, A.S. Vishnevskiy, S. Wei, J. Zhang, K.A. Vorotilov, M. Redzheb, J. Leu, M.R. Baklanov
Effect of the C-bridge on UV properties of organosilicate films
Thin Solid Films 685 (2019) 329-334, DOI: 10.1016/j.tsf.2019.06.050
- A. Solé-Daura, A. Notario-Estévez, J.J. Carbó, J.M. Poblet, C. de Graaf, K.Y. Monakhov, X. López
How does the redox state of polyoxovanadates influence the collective behavior in solution? A case study with [I@V18O42]q- (q = 3, 5, 7, 11 and 13)
Inorg. Chem. 58 (2019) 3881-3894, DOI: 10.1021/acs.inorgchem.8b03508
- F. Strieth-Kalthoff, C. Henkel, M. Teders, A. Kahnt, W. Knolle, A. Gómez-Suárez, K. Dirian, W. Alex, K. Bergander, C. G. Daniliuc, B. Abel, D.M. Guldi, F. Glorius
Discovery of Unforeseen Energy-Transfer-Based Transformations Using a Combined Screening Approach
Chem 5 (2019) 2183-2194, DOI: 10.1016/j.chempr.2019.06.004
- M. Stuckart, K.Y. Monakhov
Polyoxometalates as components of supramolecular assemblies
Chem. Sci. 10 (2019) 4364-4376, DOI: 10.1039/C9SC00979E
- M. Tadsen, R.P. Friedrich, S. Riedel, C. Alexiou, S.G. Mayr
Contact guidance by microstructured gelatin hydrogels for prospective tissue engineering applications
ACS Appl. Mater. Interfaces 11 (2019) 7450-7458, DOI: 10.1021/acsami.8b21638
- S. Ullmann, P. Hahn, L. Blömer, A. Mehnert, C. Laube, B. Abel, B. Kersting
Dinuclear Lanthanide Complexes supported by a hybrid Salicylaldiminato/Calix[4]arene-Ligand: Synthesis, Structure, Magnetic and Luminescence Properties of (HNEt3)[LnIII2(HL)(L)] (Ln = Sm, Eu, Gd, Tb)
Dalton Trans. 48 (2019) 3893-3905, DOI: 10.1039/C9DT00292H
- S. Vempati, J.-C. Deinert, L. Gierster, L. Bogner, C. Richter, N. Mutz, S. Blumstengel, A. Zykov, S. Kowarik, Y. Garmshausen, J. Hildebrandt, S. Hecht, J. Stähler
Uncovering the (un-)occupied electronic structure of a buried hybrid interface
J. Phys.: Condens. Matter 31 (2019) 094001, DOI: 10.1088/1361-648X/aaf98a
- M. Wagner, C. Dreßler, F.P. Lohmann-Richters, K. Hanus, D. Sebastiani, A. Varga, B. Abel
Mechanism of ion conductivity through polymer-stabilized CsH2PO4 nanoparticular layers from experiment and theory
J. Mater. Chem. A 7 (2019) 27367-27376, DOI: 10.1039/C9TA04275J
- G. Wang, Y. Zhang, C. Li, A. Lotnyk, Y. Lu, X. Shen
Self-Limited Growth of Nanocrystals in Structural Heterogeneous Phase-Change Materials during the Heating Process
Cryst. Growth Des. 19 (2019) 1356-1363, DOI: 10.1021/acs.cgd.8b01745
- R. Wei, D. Breite, C. Song, D. Gräsing, T. Ploss, P. Hille, R. Schwerdtfeger, J. Matysik, A. Schulze, W. Zimmermann
Biocatalytic Degradation Efficiency of Postconsumer Polyethylene Terephthalate Packaging Determined by Their Polymer Microstructures
Adv. Sci. 6 (2019) 1900491, DOI: 10.1002/advs.201900491
- A. Weidt, S.G. Mayr, M. Zink
Influence of topological cues on fibronectin adsorption and contact guidance of fibroblasts on microgrooved titanium
ACS Appl. Bio Mater. 2 (2019) 1066-1077, DOI: 10.1021/acsabm.8b00667
- N. Wilharm, T. Fischer, F. Ott, R. Konieczny, M. Zink, A.G. Beck-Sickinger, S.G. Mayr
Energetic electron assisted synthesis of highly tunable temperature-responsive collagen/elastin gels for cyclic actuation: macroscopic switching and molecular origins
Sci. Rep. 9 (2019) 12363, DOI: 10.1038/s41598-019-48830-w
- P.C. With, J. Lehnert, L. Seifert, S. Dietrich, H. Krautscheid, S. Naumov, A. Prager, B. Abel, L. Prager, U. Helmstedt
Photochemical low-temperature synthesis of iron(III) oxide thin films
Appl. Surf. Sci. 493 (2019) 525-532, DOI: 10.1016/j.apsusc.2019.06.272
- G.-R. Xu, J.-M. Xu, H.-C. Su, X.-Y. Liu, L. Li, H.-L. Zhao, J.-J. Feng, R. Das
Two-dimensional (2D) nanoporous membranes with sub-nanopores in reverse osmosis desalination: latest developments and future directions
Desalination 451 (2019) 18-34, DOI: 10.1016/j.desal.2017.09.024
- I. Zagoranskiy, P. Lorenz, M. Ehrhardt, K. Zimmer
Guided self-organization of nanodroplets induced by nanosecond IR laser radiation of molybdenum films on sapphire
Opt. Lasers Eng. 113 (2019) 55-61, DOI: 10.1016/j.optlaseng.2018.10.005
- X. Zhao, M. Ehrhardt, P. Lorenz, B. Han, K. Zimmer, L. Xu, X. Ni
Nanosecond Nd:YAG laser induced backside wet etching of NaCl with eutectic gallium-indium alloy as absorbing liquid
Surf. Interfaces 17 (2019) 100353, DOI: 10.1016/j.surfin.2019.100353
- H. Zhu, M. Ehrhardt, P. Lorenz, J. Zajadacz, J. Lu, K. Zimmer
Combined effects of nanosecond laser-induced surface oxidation and nanostructure formation for selective colorization of nickel surfaces
Appl. Phys. A 125 (2019) 701, DOI: 10.1007/s00339-019-2985-y
- H. Zhu, H. Zhang, X. Ni, Z. Shen, J. Lu, P. Lorenz, K. Zimmer
Erratum: ‘Fabrication of superhydrophilic surface on metallic nickel by sub-nanosecond laser-induced ablation’ [AIP Adv. 9, 085308 (2019)]
AIP Adv. 9 (2019) 129901, DOI: 10.1063/1.5137903
- K. Zimmer, J. Zajadacz, F. Frost, A. Mayer, C. Steinberg, H.-F. Chang, J.-Y. Cheng, H.-C. Scheer
Towards fast nanopattern fabrication by local laser annealing of block copolymer (BCP) films
Appl. Surf. Sci. 470 (2019) 639-644, DOI: 10.1016/j.apsusc.2018.11.105
- S. Zöhrer, A. Anders, R. Franz
Influence of Ar gas pressure on ion energy and charge state distributions in pulsed cathodic arc plasmas from Nb-Al cathodes studied with high time resolutionJ. Phys. D.: Appl. Phys. 52 (2019) 055201, DOI: 10.1088/1361-6463/aaeecc
Veröffentlichungen in übrigen Zeitschriften 2019
- Th. Arnold, A. Maiwald, G. Boehm, M. Ehrhardt, K. Zimmer
Optical freeform generation by laser machining and plasma-assisted polishing
EPJ Web. Conf. EOS Optical Technologies 215 (2019) 03003", DOI: 10.1051/epjconf/201921503003
- Th. Arnold, J. Bauer, F. Pietag
Advancements in Ion Beam Figuring
Paper Nr. OM4A.6, Proc. OSA Optical Design and Fabrication 2019, Optical Fabrication and Testing, Washington D.C., USA, 10.-12.06. (2019) ", DOI: 10.1364/OFT.2019.OM4A
- J. Bauer, M. Ulitschka, F. Frost, T. Arnold
Figuring of optical aluminium devices by reactive ion beam etching
EPJ Web. Conf. EOS Optical Technologies 215 (2019) 06002, DOI: 10.1051/epjconf/201921506002
- M. Behrens, A. Lotnyk, J. W. Gerlach, M. Ehrhardt, P. Lorenz, B. Rauschenbach
Ultrafast epitaxial crystal growth in phase-change material thin films
Proc. European Phase-Change and Ovonic Symposium, Grenoble, France, 08.-10.09. (2019) 158-159
- M. Behrens, A. Lotnyk, J.W. Gerlach, B. Rauschenbach
Vacancy distributions, optical properties and switching mechanisms in epitaxial Ge2Sb2Te5 thin films
Z. Kristallogr. Suppl. 39 (2019) 33
- M. Behrens, A. Lotnyk, J.W. Gerlach, B. Rauschenbach
Epitaxial recrystallization of 3D-bonded metastable Ge-Sb-Te based phase-change materials induced by single ns-laser pulse irradiation
Paper Nr. MS4.P007, Microscopy Conf. 2019, Berlin, Germany, 01.-05.09. (2019) 164
- C. Bundesmann, R. Feder, T. Amelal, L. Pietzonka, D. Spemann
Tailoring Thin Film Properties by Ion Beam Sputter Deposition
14. ThGOT Thementage Grenz- und Oberflächentechnik und 6. Kolloquium Dünne Schichten in der Optik, Zeulenroda, 12.-14.03. (2019)
- C. Bundesmann
Schichten mit maßgeschneiderten Eigenschaften
J. Oberfl. Techn. 59 (2019) 46-49, DOI: 10.1007/s35144-019-0061-1
- C. Bundesmann
Schichtabscheidung mittels Ionenstrahlzerstäuben
Vakuum in Forschung und Praxis 31(5) (2019) 25-31, DOI: 10.1002/vipr.201900724
- C. Bundesmann, R. Feder, T. Lautenschläger, D. Spemann, H. Neumann
Das Ionenstrahlzerstäuben - Ein bewährtes Abscheideverfahren aus anderen (Streu)Winkeln betrachtet
Galvanotechnik 110 (2019) 1338-1344
- C. Eichhorn, F. Scholze, C. Bundesmann, D. Spemann, H. Neumann, H. Leiter
Laser-Induced Fluorescence in the Plume of a Radiofrequency Ion Thruster: Measurements and Excitation Schemes
Paper Nr. AIAA-2019-4168, AIAA Propulsion and Energy Forum and Exhibition, Indianapolis, IN, USA, 19.-22.08. (2019)
- C. Eichhorn, F. Scholze, C. Bundesmann, D. Spemann, H. Neumann, H. Leiter
Two-Photon Laser-Induced Fluorescence Diagnostics of a Radiofrequency Ion Thruster: Measurements in Xenon and Krypton
Paper Nr. IEPC-2019-503, 36th Int. Electric Propulsion Conf., Vienna, Austria, 15.-20.09. (2019)
- A. Finzel, G. Dornberg, S. Görsch, M. Mitzschke, J. Bauer, F. Frost
Realization of depth reference samples with surfaces amplitudes between 0.1 nm and 5 nm
EPJ Web. Conf. EOS Optical Technologies 215 (2019) 03004, DOI: 10.1051/epjconf/201921503004
- B. Holzer, M. Lunzer, A. Rosspeintner, G. Licari, M. Tromayer, S. Naumov, D. Lumpi, E. Horkel, C. Hametner, A. Ovsianikov, R. Liska, E. Vauthey, J. Fröhlich
Correction: Towards efficient initiators for two-photon induced polymerization: fine tuning of the donor/acceptor properties
Mol. Syst. Des. Eng. 4 (2019) 449-449, DOI: 10.1039/c9me90006c
- F. Kazemi, G. Boehm, T. Arnold
Ultra-precise surface machining of N-BK7 using microwave-driven reactive plasma jet machining
Proc. SPIE 11385, Optics and Measurement 2019 Int. Conf. (2019) 1138509, DOI: 10.1117/12.2547617
- S. Liedtke-Grüner, C. Grüner, A. Lotnyk, M. Mensing, J.W. Gerlach, P. Schumacher, B. Rauschenbach
Texture formation in obliquely deposited metal thin films
Z. Kristallogr. Suppl. 39 (2019) 69
- P. Lorenz, I. Zagoranskiy, M. Ehrhardt, K. Zimmer
Laser-induced large area sub-µm and nanostructuring of dielectric surfaces and thin metal layer
Proc. SPIE 10906, Laser-based Micro- and Nanoprocessing XIII (2019) 109060T, DOI: 10.1117/12.2510206
- A. Lotnyk, U. Ross, T. Dankwort, L. Kienle, B. Rauschenbach
In situ observation of dynamic reconfiguration of van der Waals interfaces in 2D-bonded Ge-Sb-Te phase-change memory alloys
Paper Nr. MS4.P001, Microscopy Conf. 2019, Berlin, Germany, 01.-05.09. (2019) 153-154
- A. Lotnyk, T. Dankwort, I. Hilmi, L. Kienle, B. Rauschenbach
In situ observations of the reversible vacancy ordering in layered chalcogenide-based thin films
Proc. European Phase-Change and Ovonic Symposium, Grenoble, France, 08.-10.09. (2019) 86-87
- D. Manova, S. Mändl
In situ X-ray diffraction measurements during low energy ion beam nitriding & etching
Z. Kristallogr. Suppl. 39 (2019) 47
- M. Mensing, P. Schumacher, C. Grüner, S. Herath, A. Lotnyk, J.W. Gerlach, B. Rauschenbach
Ion beam assisted thin film growth using mass separated low-energy nitrogen ions
Z. Kristallogr. Suppl. 39 (2019) 56
- G. Mirschel, O. Daikos, C. Steckert, T. Scherzer
Monitoring of the Application Weight of Laminating Adhesives to PUR Foam by NIR Chemical Imaging
Proc. 18th Int. Conf. on Near Infrared Spectrosc. (2019) 163-168, DOI: 10.1255/nir2017.163
- H. Mueller, G. Boehm, Th. Arnold
Next generation of a linear chirped slope profile fabricated by Plasma Jet Machining
Proc. SPIE 11171, Sixth European Seminar on Precision Optics Manufacturing (2019) 111710A, DOI: 10.1117/12.2526746
- M. Schmidt, D. Breite, A. Prager, A. Schulze
Bioactive self-cleaning PVDF membrane filters
Paper Nr. BIO-P126, European Polymer Congress 2019, Heraklion, Greece, 09.-14.06. (2019) 723
- F. Scholze, D. Spemann, D. Feili
Design and Performance Test of a RF Plasma Bridge Neutralize
Paper Nr. IEPC-2019-475, 36th Int. Electric Propulsion Conf., Vienna, Austria, 15.-20.09. (2019)
- F. Scholze, C. Bundesmann, C. Eichhorn, D. Spemann
Determination of the Beam Divergence of a Gridded Ion Thruster Using the AEPD Platform
Paper Nr. IEPC-2019-738, 36th Int. Electric Propulsion Conf., Vienna, Austria, 15.-20.09. (2019)
- M. Ulitschka, J. Bauer, F. Frost, T. Arnold
Reactive ion beam etching-based finishing of optical aluminium surfaces
EPJ Web. Conf. EOS Optical Technologies 215 (2019) 04002, DOI: 10.1051/epjconf/201921504002
- M. Ulitschka, J. Bauer, F. Frost, T. Arnold
Reactive ion beam etching-based planarization of optical aluminium surfaces
Proc. SPIE 11032, EUV and X-ray Optics: Synergy between Laboratory and Space VI (2019) 110320D, DOI: 10.1117/12.2513670
- V.V. Yashchuk, I. Lacey, Th. Arnold, H. Paetzelt, S. Rochester, F. Siewert, P.Z. Takacs
Investigation on lateral resolution of surface slope profilers
Proc. SPIE 11109, Advances in Metrology for X-Ray and EUV Optics VIII (2019) 111090M, DOI: 10.1117/12.2539527
Veröffentlichungen in Zeitschriften mit Begutachtungssystem 2018
- A. Anders, Y. Yang
Plasma studies of a linear magnetron operating in the range from DC to HiPIMS
J. Appl. Phys. 123 (2018) 043302, DOI: 10.1063/1.5017857
- J. Appun, F. Stolz, S. Naumov, B. Abel, C. Schneider
The Rapid, Modular, and Highly Efficient Synthesis of Dipyrroloquinolines: A Combined Experimental and Analytical Study
J. Org. Chem. 83 (2018) 1737-1744, DOI: 10.1021/acs.joc.7b02466
- P.A. Atanasov, N.N. Nedyalkov, R.G. Nikov, C. Grüner, B. Rauschenbach, N. Fukata
SERS analysis of Ag nanostructures produced by ion-beam deposition
J. Phys.: Conf. Ser. 992 (2018) 012050, DOI: 10.1088/1742-6596/992/1/012050
- P.A. Atanasov, N.N. Nedyalkov, Ru.G. Nikov, N. Fukuta, W. Jevasuwan, T. Subramani, D. Hirsch, B. Rauschenbach
SERS analyses of thiamethoxam assisted by Ag films and nanostructures produced by laser techniques
J. Raman Spectrosc. 49 (2018) 397-403, DOI: 10.1002/jrs.5312
- T. Bahners, B. Gebert, A. Prager, N. Hartmann, U. Hagemann, J.S. Gutmann
UV-light assisted patterned metallization of textile fabrics
Appl. Surf. Sci. 436 (2018) 1093-1103, DOI: 10.1016/j.apsusc.2017.12.119
- J. Bauer, M. Ulitschka, F. Pietag, T. Arnold
Improved ion beam tools for ultraprecision figure correction of curved aluminum mirror surfaces
J. Astron. Telesc. Instrum. Syst. 4 (2018) 046003, DOI: 10.1117/1.JATIS.4.4.046003
- M. Behrens, A. Lotnyk, J.W. Gerlach, I. Hilmi, T. Abel, P. Lorenz, B. Rauschenbach
Ultrafast interfacial transformation from 2D- to 3D-bonded structures in layered Ge-Sb-Te thin films and heterostructures
Nanoscale 10 (2018) 22946-22953, DOI: 10.1039/C8NR06567E
- M. Behrens, A. Lotnyk, U. Roß, J. Griebel, P. Schumacher, J.W. Gerlach, B. Rauschenbach
Impact of disorder on optical reflectivity contrast of epitaxial Ge2Sb2Te5 thin films
CrystEngComm 20 (2018) 3688-3695, DOI: 10.1039/C8CE00534F
- C. Bundesmann, H. Neumann
Tutorial: The Systematics of Ion Beam Sputtering for Deposition of Thin Films with Tailored Properties
J. Appl. Phys. 124 (2018) 231102, DOI: 10.1063/1.5054046
- M.V. Cakir, U. Allenstein, M. Zink, S.G. Mayr
Early adhesion of cells to ferromagnetic shape memory alloys functionalized with plasma assembled biomolecules - a single cell force spectroscopy study
Mater. Des. 158 (2018) 19-27", DOI: 10.1016/j.matdes.2018.08.012
- R. Chanson, L. Zhang, S. Naumov, Yu.A. Mankelevich, T. Tillocher, P. Lefaucheux, R. Dussart, S. De Gendt, J-F. de Marneffe
Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50 oC
Sci. Rep. 8 (2018) 1886, DOI: 10.1038/s41598-018-20099-5
- D. Chen, G. Yang, J. Li, D. Hirsch, Y. Liu, F. Frost, Y. Hong
Terrace morphology on fused silica surfaces by Ar+ ion bombardment with Mo co-deposition
Appl. Phys. Lett. 113 (2018) 033102, DOI: 10.1063/1.5039565
- O. Daikos, K. Heymann, T. Scherzer
Monitoring of Thickness and Conversion of Thick Pigmented UV-cured by NIR Hyperspectral Imaging
Progr. Org. Coat. 125 (2018) 8-14, DOI: 10.1016/j.porgcoat.2018.08.022
- R. Das, B.F. Leo, F. Murphy
The toxic truth about carbon nanotubes in water purification: a perspectiv view
Nanoscale Res. Lett. 13 (2018) 183, DOI: 10.1186/s11671-018-2589-z
- M. Ehrhardt, P. Lorenz, B. Han, R. Zhu, K. Zimmer
Laser-induced backside wet etching of SiO2 with a visible ultrashort laser pulse by using KMnO4 solution as an absorber liquid
J. Laser Micro Nanoen. 13 (2018) 47-54, DOI: 10.2961/jlmn.2018.02.0001
- M. Ehrhardt, P. Lorenz, L. Bayer, B. Han, K. Zimmer
Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses
Appl. Surf. Sci. 427 (2018) 686-692, DOI: 10.1016/j.apsusc.2017.08.099
- C. Elsner, M. Lohan, J. Griebel, U. Decker
Photo-stimulated leaving group isomerization of acyl donor esters in protease-catalyzed hydrolysis reactions
Biocat. Biotrans. 36 (2018) 444-449, DOI: 10.1080/10242422.2018.1427739
- H. Ennaceri, A. Ghennioui, A. Benyoussef, A. Ennaoui, A. Khaldoun
Direct normal irradiation-based approach for determining potential regions for concentrated solar power installations in Morocco
Int. J. Ambient Energy 39 (2018) 78-86, DOI: 10.1080/01430750.2016.1237888
- E. Fasshauer, M. Forstel, M. Mucke, T. Arion, U. Hergenhahn
Corrigendum to 'Theoretical and experimental investigation of Electron Transfer Mediated Decay in ArKr clusters' [Chem. Phys. 482 (2017) 226238]
Chem. Phys. 501 (2018) 138, DOI: 10.1016/j.chemphys.2018.01.022
- K. Fischer, P. Schulz, I. Atanasov, A. Abdul Latif, A. Prager, J. Griebel, A. Schulze
Synthesis of High Crystalline TiO2 Nanoparticles on a Polymer Membrane to Degrade Pollutants from Water
Catalysts 8 (2018) 376, DOI: 10.3390/catal8090376
- S. Glass, T. Rüdiger, J. Griebel, B. Abel, A. Schulze
Uptake and Release of Photosensitizers in a Hydrogel for Applications in Photodynamic Therapy: The Impact of Structural Parameters on Intrapolymer Transport Dynamics
RSC Adv. 8 (2018) 41624-41632, DOI: 10.1039/c8ra08093c
- S. Glass, B. Trinklein, B. Abel, A. Schulze
TiO2 with dual function: Photoinitiator and Photosensitizer in the Synthesis of Photoactive TiO2-PEGDA Hydrogels
Front. Chem. 6 (2018) 340, DOI: 10.3389/fchem.2018.00340
- C. Grüner, P. Reeck, P.-P. Jacobs, S. Liedtke, A. Lotnyk, B. Rauschenbach
Gold coated metal nanostructures grown by glancing angle deposition and pulsed electroplating
Phys. Lett. A 382 (2018) 1287-1290, DOI: 10.1016/j.physleta.2018.03.010
- C. Grüner, S. Liedtke, J. Bauer, S.G. Mayr, B. Rauschenbach
Morphology of Thin Films Formed by Oblique Physical Vapor Deposition
ACS Appl. Nano Mater. 1 (2018) 1370-1376, DOI: 10.1021/acsanm.8b00124
- F. Haase, D. Manova, D. Hirsch, S. Mändl, H. Kersten
Dynamic determination of secondary electron emission using a calorimetric probe in a plasma immersion ion implantation experiment
Plasma Sources Sci. Technol. 27 (2018) 044003, DOI: 10.1088/1361-6595/aabb2d
- T. Herzig, P. Räcke, N. Raatz, D. Spemann, W. Redjem, J.W. Gerlach, J. Meijer, G. Cassabois, M. Abbarchi, S.Pezzagna
Creation of quantum centers in silicon using spatial selective ion implantation of high lateral resolution
Proc. 22nd Int. Conf. on Ion Implantation Technology (2018) 136-139, DOI: 10.1109/IIT.2018.8807909
- E. Jahangiri, I. Thomas, A. Schulze, B. Seiwert, H. Cabana, D. Schlosser
Characterisation of electron beam irradiation-immobilised laccase for application in wastewater treatment
Sci. Total Environ. 624 (2018) 309-322, DOI: 10.1016/j.scitotenv.2017.12.127
- T. John, A. Gladytz, C. Kubeil, L.L. Martin, H.J. Risselada, B. Abel
Impact of nanoparticles on amyloid peptide and protein aggregation: a review with a focus on gold nanoparticles
Nanoscale 10 (2018) 20894-20913, DOI: 10.1039/C8NR04506B
- T. John, B. Abel, L.L. Martin
The Quartz Crystal Microbalance with Dissipation Monitoring (QCM-D) Technique Applied to the Study of Membrane-Active Peptides
Aust. J. Chem. 71 (2018) 543-546, DOI: 10.1071/CH18129
- F. Koch, D. Lehr, O. Schönbrodt, T. Glaser, R. Fechner, F. Frost
Manufacturing of highly-dispersive, high-efficiency transmission gratings by laser interference lithography and dry etching
Microelectron. Eng. 191 (2018) 60-65, DOI: 10.1016/j.mee.2018.01.031
- C. Laube, J. Hellweg, C. Sturm, J. Griebel, M. Grundmann, A. Kahnt, B. Abel
Photoinduced Heating of Graphitized Nanodiamonds Monitored by the Raman Diamond Peak
J. Phys. Chem. C 122 (2018) 25685-25691, DOI: 10.1021/acs.jpcc.8b09164
- J. Lehnert, D. Spemann, S. Surjuse, M. Mensing, C. Grüner, P. With, P. Schumacher, A. Finzel, D. Hirsch, B. Rauschenbach
Preparation and characterisation of carbon-free Cu(111) films on sapphire for graphene synthesis
J. Phys.: Conf. Ser. 992 (2018) 012024, DOI: 10.1088/1742-6596/992/1/012024
- S. Liedtke, C. Grüner, J.-W. Gerlach, A. Lotnyk, B. Rauschenbac
Crystalline Ti-nanostructures prepared by oblique angle deposition at room temperature
J. Vac. Sci. Technol. B 36 (2018) 031804, DOI: 10.1116/1.5025013
- S. Liedtke, Ch. Grüner, J.W. Gerlach, B. Rauschenbach
Comparative study of sculptured metallic thin films deposited by oblique angle deposition at different temperatures
Beilstein J. Nanotechnol. 9 (2018) 954-962, DOI: 10.3762/bjnano.9.89
- O. Linnenberg, M. Moors, A. Notario-Estévez, X. López, C. de Graaf, S. Peter, C. Bäumer, R. Waser, K. Yu. Monakhov
Adressing multiple resistive states of polyoxovanadates: Conductivity as a function of individual redox states
J. Am. Chem. Soc. 140 (2018) 16635-16640, DOI: 10.1021/jacs.8b08780
- Y. Liu, D. Hirsch, R. Fechner, Y. Hong, S. Fu, F. Frost, B. Rauschenbach
Nanostructures on fused silica surfaces produced by ion beam sputtering with Al co-deposition
Appl. Phys. A 124 (2018) 73, DOI: 10.1007/s00339-017-1393-4
- F.P. Lohmann-Richters, C. Odenwald, G. Kickelbick, B. Abel, Á. Varga
Facile and scalable synthesis of sub-micrometer electrolyte particles for solid acid fuel cells
RSC Adv. 8 (2018) 21806-21815, DOI: 10.1039/C8RA03293A
- F.P. Lohmann-Richters, B. Abel, Á. Varga
In situ determination of the electrochemically active platinum surface area: key to improvement of solid acid fuel cells
J. Mater. Chem. A 6 (2018) 2700-2707, DOI: 10.1039/C7TA10110D
- P. Lorenz, L. Bayer, T. Tachtsidis, K. Zimmer
Laser patterning of hierarchical structures on metal cylinders for UV-NIL replication
Proc. 10th CIRP Conf. on Photonic Technologies [LANE 2018] (2018), DOI
- P. Lorenz, M. Klöppel, I. Zagoranskiy, K. Zimmer
From statistic to deterministic nanostructures in fused silica induced by nanosecond laser radiation
Procedia CIRP 74 (2018) 371-375, DOI: 10.1016/j.procir.2018.08.145
- A. Lotnyk, I. Hilmi, U. Ross, B. Rauschenbach
Van der Waals interfacial bonding and intermixing in GeTe-Sb2Te3-based superlattices
Nano Res. 11 (2018) 1676-1686, DOI: 10.1007/s12274-017-1785-y
- H.V. Lutze, J. Brekenfeld, S. Naumov, C. von Sonntag, T. Schmidt
Degradation of perfluorinated compounds by sulfate radicals - New mechanistic aspects and economical considerations
Water Research 129 (2018) 509-519, DOI: 10.1016/j.watres.2017.10.067
- R. Macchieraldo, L. Esser, R. Elfgen, P. Voepel, S. Zahn, B.-M. Smarsly, B. Kirchne
Hydrophilic Ionic Liquid Mixtures of Weakly and Strongly Coordinating Anions with and without Water
ACS Omega 3 (2018) 8567-8582, DOI: 10.1021/acsomega.8b00995
- J.-F. de Marneffe, B.-T. Cha, M. Spieser, G. Vereecke, S. Naumov, D. Vanhaeren, A.-W. Knoll
Conversion of a patterned organic resist into a high performance inorganic hard mask for high resolution pattern transfer
ACS Nano 12 (2018) 11152-11160, DOI: 10.1021/acsnano.8b05596
- L.L. Martin, C. Kubeil, S. Piantavigna, T. Tikkoo, N.P. Gray, T. John, A.N. Calabrese, Y. Liu, Y. Hong, M.A. Hossain, N. Patil, B. Abel, R. Hoffmann, J.H. Bowie, J.A. Carver
Amyloid aggregation and membrane activity of the antimicrobial peptide uperin 3.5
Pept. Sci. 110 (2018) e24052", DOI: 10.1002/pep2.24052
- M. Mateev, T. Lautenschläger, D. Spemann, A. Finzel, J.W. Gerlach, F. Frost, C. Bundesmann
Systematic investigation of the reactive ion beam sputter deposition process of SiO2
Eur. Phys. J. B 91 (2018) 45, DOI: 10.1140/epjb/e2018-80453-x
- S. Merker, H. Krautscheid, S. Zahn
Can a temporary bond between dye and redox mediator increase the efficiency of p-type dye-sensitized solar cells?
J. Mol. Model. 24 (2018) 317, DOI: 10.1007/s00894-018-3848-8
- G. Mirschel, O. Daikos, T. Scherzer, C. Steckert
Near-Infrared Chemical Imaging Used for In-line Analysis of Functional Finishes on Textiles
Talanta 188 (2018) 91-98, DOI: 10.1016/j.talanta.2018.05.050
- G. Mitri, F. Postberg, J.M. Soderblom, P. Wurz, P. Tortora, B. Abel, J.W. Barnes, M. Berga, N. Carrasco, A. Coustenis, J.P. Paul de Vera, A. D`Ottavio, F. Ferri, A.G. Hayes, P.O. Hayne, J.K. Hillier, S. Kempf, J.-P. Lebreton, R.D. Lorenz, et al.
Explorer of Enceladus and Titan (E2T): Investigating ocean worlds`evolution and habitability in the solar system
Planet. Space Sci. 155 (2018) 73-90, DOI: 10.1016/j.pss.2017.11.001
- M. Mitterbauer, P. Knaack, S. Naumov, M. Markovic, A. Ovsianikov, N. Moszner, R. Liska
Acylstannanes - A New Generation of Cleavable and Highly Reactive Photoinitiators for Curing at Wavelengths Above 500 nm with Excellent Photobleaching Behavior
Angew. Chemie Int. Ed. 57 (2018) 12146-12150, DOI: 10.1002/anie.201804094
- A. Müller, A. Preuß, T. Bornhütter, I. Thomas, A. Prager, A. Schulze, B. Röder
Electron beam functionalized photodynamic polyether-sulfone membranes photophysical characterization and antimicrobial activity
Photochem. Photobiol. Sci. 17 (2018) 1346-1354, DOI: 10.1039/C8PP00254A
- O. Naumov, S. Naumov, B. Abel, A. Varga
The stability limits of highly active nitrogen doped carbon ORR nano-catalysts: a mechanistic study of degradation reactions
Nanoscale 10 (2018) 6724-6733, DOI: 10.1039/C7NR08545A
- V. Nikolaou, F. Plass, A. Planchat, A. Charisiadis, G. Charalambidis, P.-A. Angaridis, A. Kahnt, F. Odobel, A.-G. Coutsolelos
Effect of the triazole ring in zinc porphyrin-fullerene dyads on the charge transfer processes in NiO-based devices
Phys. Chem. Chem. Phys. 20 (2018) 24477-24489, DOI: 10.1039/C8CP04060E
- J.-C. Oliveira, F. Ferreira, A. Anders, A. Cavaleiro
Reduced atomic shadowing in HiPIMS: Role of the thermalized metal ions
Appl. Surf. Sci. 433 (2018) 934-944, DOI: 10.1016/j.apsusc.2017.10.133
- L. Pietzonka, T. Lautenschläger, D. Spemann, A. Finzel, J.W. Gerlach, F. Frost, C. Bundesmann
Ion beam sputter deposition of TiO2 films using oxygen ions
Eur. Phys. J. B 91 (2018) 252, DOI: 10.1140/epjb/e2018-90293-3
- F. Postberg, N. Khawaja, B. Abel, G. Choblet, C.R. Glein, M.S. Gudipati, B.L. Henderson, H.-W. Hsu, S. Kempf, F. Klenner, G. Moragas-Klostermeyer, B. Magee, L. Nölle, M. Perry, R. Reviol, J. Schmidt, F. Stolz, G. Tobie, M. Trieloff, J.H. Waite
Macromolecular organic compounds from the depths of Enceladus
Nature 558 (2018) 564-568, DOI: 10.1038/s41586-018-0246-4
- P. Räcke, D. Spemann, J.W. Gerlach, B. Rauschenbach, J. Meijer
Detection of small bunches of ions using image charges
Sci. Rep. 8 (2018) 9781, DOI: 10.1038/s41598-018-28167-6
- A. Reinhardt, I. Thomas, J. Schmauck, R. Giernoth, A. Schulze, I. Neundorf
Electron beam immobilization of novel antimicrobial, short peptide motifs leads to membrane surfaces with promising antibacterial properties
J. Funct. Biomater. 9 (2018) 21, DOI: 10.3390/jfb9010021
- E. Reisz, C. von Sonntag, A. Tekle-Röttering, S. Naumov, W. Schmidt, T. Schmidt
Reaction of 2-propanol with ozone in aqueous media
Water Research 128 (2018) 171-182, DOI: 10.1016/j.watres.2017.10.035
- C. Richter, D. Hollas, C.-M. Saak, M. Förstel, T. Miteva, M. Mucke, O. Björneholm, N. Sisourat, P. Slavicek, U. Hergenhahn
Competition between proton transfer and intermolecular Coulombic decay in water
Nat. Comm. 9 (2018) 4988, DOI: 10.1038/s41467-018-07501-6
- S. Riedel, K. Bela, E.I. Wisotzki, C. Suckfüll, J. Zajadacz, S.G. Mayr
Reagent-free mechanical patterning of gelatin surfaces by two-step electron irradiation treatment
Mater. Des. 153 (2018) 80-85, DOI: 10.1016/j.matdes.2018.04.076
- S. Riedel, S.G. May
Reagent-free programming of shape-memory behavior in gelatin by electron beams: Experiments and modeling
Phys. Rev. Appl. 9 (2018) 024011, DOI: 10.1103/PhysRevApplied.9.024011
- M. Schmidt, D. Breite, I. Thomas, M. Went, A. Prager, A. Schulze
Polymer Membranes for Active Degradation of Complex Fouling Mixtures
J. Membr. Sci. 563 (2018) 481-491, DOI: 10.1016/j.memsci.2018.06.013
- F. Siewert, B. Löchel, J. Buchheim, F. Eggenstein, A. Firsov, G. Gwalt, O. Kutz, S. Lemke, B. Nelles, I. Rudolph, F. Schäfers, T. Seliger, F. Senf, A. Sokolov, C. Waberski, J. Wolf, T. Zeschke, I. Zizak, R. Follath, T. Arnold, F. Frost, F. Pietag, A. Erko
Gratings for synchrotron and FEL beamlines: a project for the manufacture of ultra-precise gratings at Helmholtz Zentrum Berlin
J. Synchrotron Rad. 25 (2018) 91-99", DOI: 10.1107/S1600577517015600
- C. Stangel, F. Plass, A. Charisiadis, E. Giannoudis, G. Chararalambidis, K. Karikis, G. Rotas, G.-E. Zervaki, N.N. Lathiotakis, N. Tagmatarchis, A. Kahnt, A.-G. Coutsolelos
Interfacing tetrapyridyl-C60 with porphyrin dimers via ?-conjugated bridges: artificial photosynthetic systems with ultrafast charge separation
Phys. Chem. Chem. Phys. 20 (2018) 21269-21279", DOI: 10.1039/C8CP03172J
- N.E. Stankova, P.A. Atanasov, N.N. Nedyalkov, D. Tatchev, K.N. Kolev, E.I. Valova, S.A. Armyanov, K. Grochowska, G. ZliwiDski, N. Fukata, D. Hirsch, B. Rauschenbach
Laser-induced surface modification of biopolymers - micro/nanostructuring and functionalization
J. Phys.: Conf. Ser. 992 (2018) 012051, DOI: 10.1088/1742-6596/992/1/012051
- J. Horn-Stanja, S. Nißl, U. Hergenhahn, T. Sunn Pedersen, H. Saitoh, E.V. Stenson, M. Dickmann, C. Hugenschmidt, M. Singer, M.R. Stoneking, J.R. Danielson
Confinement of positrons exceeding 1 s in a supported magnetic dipole trap
Phys. Rev. Lett. 121 (2018) 235003, DOI: 10.1103/PhysRevLett.121.235003
- E.V. Stenson, U. Hergenhahn, M.R. Stoneking, T. Sunn Pedersen
Positron-Induced Luminescence
Phys. Rev. Lett. 120 (2018) 147401, DOI: 10.1103/PhysRevLett.120.147401
- E.V. Stenson, S. Nißl, U. Hergenhahn, J. Horn-Stanja, M. Singer, H. Saitoh, T. Sunn Pedersen, J.R. Danielson, M.R. Stoneking, M. Dickmann, C. Hugenschmidt
Lossless positron injection into a magnetic dipole trap
Phys. Rev. Lett. 121 (2018) 235005, DOI: 10.1103/PhysRevLett.121.235005
- S. Ullmann, R. Schorr, Ch. Laube, B. Abel, B. Kersting
Photoluminescence properties of tetrahedral zinc(II) complexes supported by calix(4)arene-based salicylaldiminato ligands
Dalton Trans. 47 (2018) 5801-5811, DOI: 10.1039/C8DT00757H
- G. Wang, A. Lotnyk, C. Liac, X. Shen
Oxygen filling voids and direct element imaging of metastable ZnSb structures by aberration-corrected scanning transmission electron microscopy
Scr. Mater. 157 (2018) 115-119, DOI: 10.1016/j.scriptamat.2018.08.010
- G. Wang, A. Lotnyk, Q. Nie, R. Wang, X. Shen, Y. Lu
Shortening Nucleation Time to Enable Ultrafast Phase Transition in Zn1Sb7Te12 Pseudo-Binary Alloy
Langmuir 34 (2018) 15143-15149, DOI: 10.1021/acs.langmuir.8b02737
- G. Wang, H. Wan, A. Lotnyk, R. Wang, X. Shen
Unique interface-driven crystallization mechanism and element-resolved structure imaging of ZnO-Ge2Sb2Te5 nanocomposites
Ceram. Int. 44 (2018) 22497-22503, DOI: 10.1016/j.ceramint.2018.09.020
- S. Weyrauch, C. Wagner, C. Suckfuell, A. Lotnyk, W. Knolle, J.W. Gerlach, S.G. Mayr
Nanoporous gold synthesized by plasma-assisted inert gas condensation: room temperature sintering, nanoscale mechanical properties and stability against high energy electron irradiation
J. Phys. D: Appl. Phys. 51 (2018) 065301, DOI: 10.1088/1361-6463/aaa289
- R. Wunderlich, J. Kohlrautz, B. Abel, J. Haase, J. Meijer, Investigation of room temperature multispin-assisted bulk diamond 13-C hyperpolarization at low magnetic fields
J. Phys.: Cond. Matter. 30 (2018) 305803, DOI: 10.1088/1361-648X/aacc32
- S. Zernia, R. Frank, R. Weiße, H.-G. Jahnke, K. Bellmann-Sickert, A. Prager, B. Abel, N. Sträter, A. Robitzki, A.G. Beck-Sickinger
Surface-Binding Peptide Facilitates Electricity-Driven NADPH-Free Cytochrome P450 Catalysis
ChemCatChem 10 (2018) 525, DOI: 10.1002/cctc.201701810
- J. Zhou, C. Laube, W. Knolle, S. Naumov, A. Prager, F.-D. Kopinke, B. Abe
Efficient chlorine atom functionalization at nanodiamond surfaces by electron beam irradiation
Diam. Relat. Mater. 82 (2018) 150-159, DOI: 10.1016/j.diamond.2018.01.012
- S. Zöhrer, A. Anders, R. Franz
Time-resolved ion energy and charge state distributions in pulsed cathodic arc plasmas of Nb-Al cathodes in high vacuum
Plasma Sources Sci. Technol. 27 (2018) 055007, DOI: 10.1088/1361-6595/aabdc7
Veröffentlichungen in Zeitschriften ohne Begutachtungssystem 2018
- Th. Arnold, J.-B. Abadie, G. Boehm
Surface figure error correction by additive plasma jet machining and ion beam assisted mask transfer
Paper Nr. O1.02, Proc. EUSPEN 18th Int. Conf. & Exhibition, Venice, Italy, 04.-08.06. (2018) 47"<a href=„http://dx.doi.org/“„ target=„_blank“>"</a>
- T. Arnold, F. Frost, M. Nestler, A. Schindler, M. Zeuner
Ultra-Precision Ion Beam and Plasma Jet Processing for Advanced Optics Manufacturing
Proc. Int. Symposium on Extreme Optical Manufacturing and Laser-Induced Damage in Optics, Chengdu, China, 26.-28.09. (2018)
- J. Bauer, M. Ulitschka, F. Frost, T. Arnold, L. Alber, M. Sondermann, G. Leuchs
Ultra-precision surface figuring of optical aluminium devices
Paper Nr. NoM3D.3, Proc. OSA Advanced Photonics 2018, Novel Optical Materials and Applications, Zurich, Switzerland, 02.-05.07. (2018), DOI: 10.1364/NOMA.2018.NoM3D.3
- J. Bauer, M. Ulitschka, F. Pietag, T. Arnold
Improved ion beam tools for ultra-precision figure correction of curved aluminium mirror surfaces
Paper Nr. 10692-21, SPIE Optical Systems Design, Proc. Vol. 10692, Optical Fabrication, Testing, and Metrology VI, Frankfurt/Main, Germany, 14.-17.05. (2018), DOI: 10.1117/12.2314932
- D. Breite, A. Prager, A. Schulze
Charge Selective Polymer Membranes with pH Dependent Selectivity
Paper Nr. 269, Euromembrane 2018, Valencia, Spain, 09.-13.07. (2018)
- D. Breite, R. Schiewe, M. Went, A. Prager, A. Schulze
Surface Hydrophilization of a Microfiltration Membrane using Polyamide
Paper Nr. P1.10, 17th Aachener Membran Kolloquium, Aachen, Germany, 14.-15.11. (2018) 227-231
- M.A. Cisternas, M. Jose Retamal, P. Saikia, N. Casanova, N. Moraga, A. Chandia, A. Alvarez, D.E. Diaz-Droguett, F. Guzman, S. Mändl, D. Manova, T.P. Corrales, U.G. Volkmann, M. Favre, H. Bhuyan
Study of Phospholipid Bilayers Supported on Chitosan-Titanium Nitride Coatings Produced by Plasma Immersion Ion Implantation (PIII)
Biophys. J. 114 (2018) 105a, DOI: 10.1016/j.bpj.2017.11.613
- K. Fischer, A. Gawel, D. Rosen, M. Krause, A. Abdul Latif, J. Henke, I. Thomas, M. Kühnert, J. Griebel, A. Prager, A. Schulze
Easy Dip-Coating Method to Covalently Immobilize Highly Photocatalytic Active TiO2 Nanoparticles on a PES Membrane
Paper Nr. 340, Euromembrane 2018, Valencia, Spain, 09.-13.07. (2018) 243
- A. Lotnyk, M. Behrens, I. Hilmi, B. Rauschenbach
Epitaxial GeSbTe-based thin films and heterostructures: growth, microstructure and optical properties
European Phase Change and Ovonic Symposium, Catania, Italy, 23.-25.09. (2018) 54-59
- M. Schmidt, D. Breite, M. Went, A. Prager, A. Schulze
Self-cleaning polymer membranes by chemical conjugation of digestive enzymes
Paper Nr. OC35, EUPOC 2018, Como, Italy, 20.-24.05. (2018) 47
- M. Schmidt, D. Breite, A. Prager, A. Schulze
Self-cleaning studies on biocatalytic active polymer membranes
Paper Nr. P1.12, 17th Aachener Membran Kolloquium, Aachen, Germany, 14.-15.11. (2018) 241-248
- A. Schulze, S. Glass, M. Kühner
Tailored Synthesis of Hydrogels and Cryogels by the Use of Electron Beam Irradiation-Mediated Polymerization
Proc. 6th Belgian Symposium on Tissue Engineering, Gent, Belgium, 21.-23.11. (2018) 48
- A. Schulze, I. Thomas, M. Went, D. Breite, K. Fischer, M. Schmidt, A. Prager
Directed Surface Engineering of Polymer Membranes by the Use of Electron Beam Irradiation
Paper Nr. 229, Euromembrane 2018, Valencia, Spain, 09.-13.07. (2018) 185-186
- A. Schulze, L. Drößler, S. Weiß, M. Went, A. Abdul Latif, D. Breite, K. Fischer
Membrane Functionalization in Pilot Scale: Roll-to-Roll Electron Beam System with In-line Contact Angle Determination
Paper Nr. P1.1, 17th Aachener Membran Kolloquium, Aachen, Germany, 14.-15.11. (2018) 183-186
- C. Steier, A. Allézy, A. Anders, K. Baptiste, E. Buice, K. Chow, G. Cutler, R. Donahue, D. Filippetto, J. Harkins, T. Hellert, M. Johnson, J.-Y. Jung, S. Leemann, D. Leitner, M. Leitner, T. Luo, H. Nishimura, T. Oliver, O. Omolayo, J. Osborn, et al.
Status of the Conceptual Design of ALS-U
Paper Nr. THPMF06, 9th Int. Particle Accelerator Conf., Vancouver, Canada, 29.04.-04.05. (2018) 4134, DOI: 10.18429/JACoW-IPAC2018-THPMF036
- N. Wolff, P. Jordt, A. Lotnyk, V. Duppel, S. Beirle, B.M. Murphy, L. Kienle
Nanostructure of multifunctional and ultra-thin FeCo/TiN (bilayer period ? ? 2.3 nm) multilayer thin films
Paper Nr. 280, 19th Int. Microscopy Congress, Sydney, Australia, 09.-14.09. (2018)
- D. Ward, S. Riedel, R. Kudlackova, L. Ashton, T.E.L. Douglas
Biomimetically mineralized gelatin hydrogels produced by novel beta-radiation crosslinking
Eng. Biomater. 148 (2018) 62
- S. Zöhrer, A. Anders, R. Franz
Time and energy-resolved average ion charge states in pulsed cathodic vacuum arc plasmas of Nb-Al cathodes as a function of Ar pressure
28th Int. Symposium on Discharges and Electrical Insulation in Vacuum 2 (2018) 357-360, DOI: 10.1109/DEIV.2018.8537137
Beiträge in Sammelwerken 2018
- C. Grüner, I. Abdulhalim, B. Rauschenbach
Glancing Angle Deposition for Biosensing Applications
Encyclopedia of Interfacial Chemistry
Surf. Sci. Electrochem. (2018) 129-137, DOI: 10.1016/B978-0-12-409547-2.14174-5
- O. Link, E. Lugovoy, B. Abel
Interface solvated electrons
Encyclopedia of Interfacial Chemistry
Surf. Sci. Electrochem. (2018) 433-442, DOI: 10.1016/B978-0-12-409547-2.13242-1
- K.R. Siefermann, A. Neff
Time-Resolved Photoemission Electron Microscopy
Encyclopedia of Interfacial Chemistry
Surf. Sci. Electrochem. (2018) 557-566, DOI: 10.1016/B978-0-12-409547-2.13270-6
2017
M. Lorenz, D. Hirsch, C. Patzig, T. Höche, S. Hohenberger, H. Hochmuth, V. Lazenka, K. Temst, and M. Grundmann,
Correlation of Interface Impurities and Chemical Gradients with High Magnetoelectric Coupling Strength in Multiferroic BiFeO3-BaTiO3 Superlattices
ACS Appl. Mater. Interfaces 9 (2017) 18956-18965
I. Hilmi, A. Lotnyk, J. W. Gerlach, P. Schumacher, B. Rauschenbach,
Epitaxial formation of cubic and trigonal Ge-Sb-Te thin films with heterogeneous vacancy structures
Mater. Design 115 (2017) 138-146
M. Balkenhol, F. P. Nothdurft, M. Hannig, A. Schindler, A. Lehmann, Th. Arnold, A. Knauber, S. Rupf ,
Bonding to zirconia ceramic: The effect of cold plasma treatment and 4-META
Clin. Plasma Med. 5 (2017) 8-13
Y.M. Riyad,
Thioanisole triplet: Laser flash photolysis and pulse radiolysis studies
Journal of Photochemistry and Photobiology A: Chemistry 335 (2017) 294-299
J. Bauer, F. Frost, T. Arnold,
Reactive ion beam figuring of optical aluminium surfaces
J. Phys. D Appl. Phys. 50 (2017) 085101
P. Lorenz, J. Zajadacz, M. Ehrhardt, L. Bayer, K. Zimmer,
Pattern transfer, self-organized surface nanostructuring, and nanodrilling of sapphire using nanosecond laser irradiation
Paper Nr. 97361K, Laser-based Micro- and Nanoprocessing X, SPIE Proceedings, San Fransisco, 04.03. (2017)
G. Bubnis, H. J. Risselada, H. Grubmüller,
Exploiting Lipid Permutation Symmetry to Compute Membrane Remodeling Free Energies
Phys. Rev. Lett. 117 (2017) 188102
F. Sotier, S. Geiger, W. K. Kipnusu, G. Marowsky and K. R. Siefermann,
Ultra-short pulses from a 2 um fiber laser source and applications in materials processing
LANE 2016, Fürth, 19.-22.09. (2017)
C. Bundesmann, T. Lautenschläger, E. Thelander, D. Spemann,
Reactive Ar ion beam sputter deposition of TiO2 films: Influence of process parameters on film properties
Nucl. Instrum. Meth. B 395 (2017) 17-23
D. Manova, L. Franco Arias, A. Hofele, I. Alani, A. Kleiman, I. Asenova, U. Decker, A. Marquez, S. Mändl,
Nitrogen Incorporation during PVD Deposition of TiO2:N Thin Films
Surf. Coat. Tech. 312 (2017) 61-65
D. Manova, S. Mändl, H. Neumann, B. Rauschenbach,
Formation of Metastable Diffusion Layers in Cr-containing Iron, Cobalt and Nickel Alloys after Nitrogen Insertion
Surf. Coat. Tech. 312 (2017) 81-90
A. Márquez, S.P. Brühl, P.K. Chu, S. Mändl,
13th International Conference on Plasma-Based Ion Implantation and Deposition
Surf. Coat. Tech. 312 (2017) 1
J. Schmidt, R. Wei, T. Oeser, L. A. D. E. Silva, D. Breite, A. Schulze, W. Zimmermann,
Degradation of polyester polyurethane by bacterial polyester hydrolases
Polymers 9 (2017) 65
S. K. Srivastava, C. Grüner, D. Hirsch, B. Rauschenbach, I. Abdulhalim,
Enhanced intrinsic fluorescence from carboxidized nano-sculptured thin films of silver and their application for label free dual detection of glycated hemoglobin
Opt. Express 25 (2017) 4761-4772
G. Mirschel, O. Daikos, T. Scherzer, C. Steckert,
Near-Infrared Hyperspectral Imaging of Lamination and Finishing Processes in Textile Technology
NIR News 28 (2017) 20-25
A. Schulze, D. Breite, Y. Kim, M. Schmidt, I. Thomas, M. Went, K. Fischer, A. Prager,
Bio-Inspired Polymer Membrane Surface Cleaning
Polymers 9 (2017) 97
A. Abdelrahman, B. Abel, A. Varga,
Towards rational electrode design: quantifying the triplephase boundary activity of Pt in solid acid fuel cell anodes by electrochemical impedance spectroscopy
J Appl Electrochem 47 (2017) 327-334
O. Naumov, F. P. Lohmann, B. Abel, A. Varga,
Carbon Nanotubes as a Solid Acid Fuel Cell Cathode Material: Insights Into In Operando Functional Stability
ChemElectroChem 4 (2017) 1-9
G. Mirschel, O. Daikos, T. Scherzer, C. Steckert, K. Heymann*,
Characterization of Sizes on Textiles by In-Line NIR Chemical Imaging
3 rd international Conference on Optical Characterization of Materials, Karlsruhe, Germany, 22.-23.03 (2017) 149-158
M. Fazio, D. Manova, D. Hirsch, E. Valcheva, A. Kleiman, S. Mändl, A. Márquez,
Depth-resolved study of hydrogen-free amorphous carbon films on stainless steel
Diam. Rel. Mater. 74 (2017) 173-181
Y. Li, H. Takino, F. Frost,
Ion beam planarization of diamond turned surfaces with various roughness profiles
Opt. Express 25 (2017) 7828-7838
C. Bundesmann, R. Feder, T. Lautenschläger, D. Spemann,
Ionenstrahlgestützte Schichtabscheidung: Zusammenhänge zwischen Prozessparametern, Teilchen- und Schichteigenschaften
12. Thementage Grenz- und Oberflächentechnik (ThGOT) und 5. Kolloquium Dünne Schichten in der Optik, Zeulenroda, 14.-16.03. (2017)
D.J. As, M. Deppe, J.W. Gerlach, D. Reuter,
Optical properties of germanium doped cubic GaN
MRS Advances 2 (2017) 283-288
P. Schumacher*, S. G. Mayr, B. Rauschenbach,
Topography evolution of germanium thin films synthesized by pulsed laser deposition
AIP Advances 7 (2017) 045115
D. You, H. Fukuzawa, Y. Sakakibara, T. Takanashi, Y. Ito, G. G. Maliyar, K. Motomura, K. Nagaya, T. Nishiyama, K. Asa, Y. Sato, N. Saito, M. Oura, M. Schöffler, G. Kastirke, U. Hergenhahn, V. Stumpf, K. Gokhberg, A. I. Kuleff, L. S. Cederbaum, K Ueda,
Charge transfer to ground-state ions produces free electrons
Nat. Commun. (2017) 14277
X. Sun, A. Lotnyk, M. Ehrhardt, J. W. Gerlach, B. Rauschenbach,
Realization of Multi-level States in Phase-Change Thin Films by Fast Laser Pulse Irradiation
Adv. Opt. Mater. 1700169 (2017) 1-9
F. Scholze, C. Eichhorn, C. Bundesmann, D. Spemann, H. Neumann, A. Bulit, D. Feili, J. Gonzalez del Amo,
Modelling of a Radio Frequency Plasma Bridge Neutralizer (RFPBN)
Procedia Eng. 185 (2017) 9-16
C. Bundesmann, C. Eichhorn, F. Scholze, D. Spemann, H. Neumann, F. Scortecci, H. J. Leiter, K. Holste, P. J. Klar, A. Bulit, K. Dannenmayer, J. Gonzalez del Amo,
Advanced electric propulsion diagnostic tools at IOM
Procedia Eng. 185 (2017) 1-8
P. Frach, D. Gloess, T. Goschurny, A. Drescher, U. Hartung, H. Bartzsch, A. Heisig, H. Grune, L. Leischnig, S. Leischnig, C. Bundesmann,
Large area precision optical coatings by pulse magnetron sputtering
Proceed. SPIE (Eds. J.N. Vizgaitis, B.F. Andresen, P.L. Marasco, J.S. Sanghera, M.P. Snyder) 10181 (2017) 101810K
I. Hilmi, A. Lotnyk, J.W. Gerlach, P. Schumacher, B. Rauschenbach,
Van-der-Waals epitaxy of layered chalcogenide Sb2Te3 thin films grown by pulsed laser deposition
APL Materials 5 (2017) 050701
M. Berndt-Paetza, A. Weimanna, N. Sieger, S. Schastak, Y. M. Riyad, J. Griebel, V. K.A. Arthanareeswaran, J.-U. Stolzenburg,
Tetrahydroporphyrin-tetratosylat (THPTS): A near-infrared photosensitizer for targeted and efficient photodynamic therapy (PDT) of human bladder carcinoma. An in vitro study
Photodiagnosis and Photodynamic Therapy 18 (2017) 244-251
T. Pelras, W. Knolle, S. Naumov, K. Heymann, O. Daikos, T. Scherzer,
Self-Initiation of UV Photopolymerization Reactions by Tetrahalogenated Bisphenol A (Meth)Acrylates
Photochem. Photobiol. Sci. 16 (2017) 649-662
P.R. Whelan, S.J. Bjarke, B.S. Jessen, R. Wang, B. Luo, A.C. Stoot, D.M.A. Mackenzie, P. Braeuninger-Weimer, A. Jouvray, L. Prager, L. Camilli, S. Hofmann, P. Bøggild, T.J. Booth ,
Raman spectral indicators of catalyst decoupling for transfer of CVD grown 2D materials
Carbon 117 (2017) 75-81
T. Scherzer, G. Mirschel, O. Daikos, K. Heymann, C. Steckert, B. Genest, C. Sommerer,
In-line Monitoring of Printing Processes by NIR Reflection Spectroscopy
Paper Nr. 31732, Proc. NIR 2015 - International Conference on Near Infrared Spectroscopy, Foz do Iguaçu, Brazil, 18.-23.10.2015 (2017)
T. Scherzer, G. Mirschel, U. Helmstedt, L. Prager, U. Decker,
Monitoring of the Degree of Condensation in Thin Alkoxysiloaxane Layers by NIR Reflection Spectroscopy
Paper Nr. 31732, Proc. NIR 2015 - International Conference on Near Infrared Spectroscopy, Foz do Iguaçu / Brazil, 18.-23.10.2015 (2017)
F. Bauer, S. Czihal, M. Bertmer, U. Decker, S. Naumov, S. Wassersleben, D. Enke,
Water-based functionalization of mesoporous siliceous materials, Part 1: Morphology and stability of grafted 3-aminopropyltriethoxysilane
Microporous and Mesoporous Materials 250 (2017) 221-231
R. John, J. Lehnert, M. Mensing, D. Spemann, S. Pezzagna, J. Meijer,
Bright optical centre in diamond with narrow, highly polarised and nearly phonon-free fluorescence at room temperature
New J. Phys 19 (2017) 053008
L. Bayer, M. Ehrhardt, P. Lorenz, S. Pisoni, S. Buecheler, A. N. Tiwari, K. Zimmer,
Morphology and topography of perovskite solar cell films ablated and scribed with short and ultrashort laser pulses
Appl. Surf. Sci. 416 (2017) 112-117
T. Lautenschläger, C. Bundesmann,
Reactive ion beam sputtering of Ti: Influence of process parameters on angular and energy distribution of sputtered and backscattered particles
J. Vac. Sci. Technol. A 35 (2017) 041001
J. Lehnert, D. Spemann, M. Hamza Hatahet, St. Mändl, M. Mensing, A. Finzel, A. Varga, B. Rauschenbach,
Graphene on silicon dioxide synthesized using carbon ion implantation in copper foils with PMMA-free transfer
Appl. Phys. Lett. 110 (2017) 233114
S. Kallendrusch, F. Merz, I. Bechmann, S. G. Mayr and M. Zink,
Long-term Tissue Culture of Adult Brain and Spleen Slices on Nanostructured Scaffolds
Advanced Healthcare Materials 6 (2017) 1601336
A. Bischoff, K. Hua and S. G. Mayr,
Insights into growth of Fe-Pd ferromagnetic shape memory alloy thin films
Crystal Growth and Design 17 (2017) 2374
E. I. Wisotzki, E. Fratini, P. Tempesti and S. G. Mayr,
Influence of high energy electron irradiation on the network structure of gelatin hydrogels as investigated by small-angle X-ray scattering (SAXS)
Phys. Chem. Chem. Phys. 19 (2017) 12064
A. Landgraf, A. Lotnyk and S. G. Mayr,
Transmission electron microscopy on as-deposited and freestanding single crystalline Fe70Pd30 ferromagnetic shape memory alloy thin film
Scripta Materialia 136 (2017) 97
J.W. Gerlach, P. Schumacher, M. Mensing, S. Rauschenbach, I. Cermak, B. Rauschenbach,
Ion mass and energy selective hyperthermal ion-beam assisted deposition setup
Rev. Sci. Instrum. 88 (2017) 063306
Th Arnold, F. Pietag, G.Bartl, T. Mai, A. Nicolaus,
Ion beam assisted sphericity error correction of Si spheres as new kg artefacts
Paper Nr. O5.3, Proceedings of EUSPEN 17th International Conference, Hannover, 29.05.-02.06. (2017) 323
A. Lehmann, F. Pietag, Th. Arnold,
Human health risk evaluation of a microwave-driven atmospheric plasma jet as medical device
Clin. Plasma Med. 7-8 (2017) 16-23
B. Rauschenbach, A. Lotnyk, L. Neumann, D. Poppitz, J.W. Gerlach,
Ion beam assisted deposition of thin epitaxial GaN films
Materials 10 (2017) 690-702
J. Bauer, M. Ulitschka, F. Frost, Th. Arnold,
Figure error correction of aluminium mirrors by deterministic reactive ion-beam machining
EOS Optical Technologies Conferences 2017 (ISBN 978-952-68553-3-2), Munich, Germany, 26.-29.06. (2017)
Th. Arnold, G. Böhm, H. Paetzelt, F. Frost,
Alternative technologies for asphere and freeform manufacturing
EOS Optical Technologies Conferences 2017 (ISBN 978-952-68553-3-2), Munich, Germany, 26.-29.06. (2017)
Y. Li, H. Takino, F. Frost,
Characteristics of Diamond Turned NiP Smoothed with IBP
EOS Optical Technologies Conferences 2017 (ISBN 978-952-68553-3-2), Munich, Germany, 26.-29.06. (2017)
M. Lorenz, D. Hirsch, C. Patzig, T. Höche, S. Hohenberger, H. Hochmuth, V. Lazenka, K. Temst, M. Grundmann,
Correlation of Interface Impurities and Chemical Gradients with High Magnetoelectric Coupling Strength in Multiferroic BiFeO3-BaTiO3 Superlattices
ACS Appl. Mater. Interfaces 9 (2017) 18956-18965
C.Bundesmann, T. Lautenschläger, D. Spemann, A. Finzel, E. Thelander, M. Mensing, F. Frost,
Systematic investigation of the properties of TiO2 films grown by reactive ion beam sputter deposition
Appl. Surf. Sci. 421 (2017) 331-340
C. Bundesmann, R. Feder, T. Lautenschläger, M. Mateev, D. Spemann,
Sytematics in Ion Beam Sputter Deposition
23rd International Conference on Ion-Surface Interactions (ISI-2017), Moscow, 21.-25.08. (2017) Volume 1, 31-36
S. Liedtke, Ch. Grüner, A. Lotnyk, B. Rauschenbach,
Glancing angle deposition of sculptured thin metal films at room temperature
Nanotechnology 28 (2017) 1-7
N. Nedyalkov, A. Dikovska, R. Nikov, P. Atanasov, G. Sliwinski, D. Hirsch, B. Rauschenbach,
Laser-induced nanoparticle fabrication on paper
Appl. Phys. A 125 (2017) 570-577
M. Deppe, J.W. Gerlach, D. Reuter, D.J. As,
Incorporation of germanium for n-type doping of cubic GaN
Phys. Status Solidi B 254 (2017) 1600700
A. Lotnyk, I. Hilmi, U. Ross, B. Rauschenbach,
Van der Waals interfacial bonding and intermixning in GeTe-Sb2Te3-based superlattices
Nano Research 10 (2017)
A. Lotnyk, U. Ross, T. Dankwort, I. Hilmi, L. Kienle, B. Rauschenbach,
Atomic structure and dynmamic reconfiguration of layered defects in van der Waals layered Ge-Sb-Te based materials
Acta Materialia 141 (2017) 92-96
Ru. G. Nikov, A. Og. Dikovska, N. N. Nedyalkov, P. A. Atanasov, G. Atanasova, D. Hirsch, B. Rauschenbach,
ZnO nanostructures produced by pulsed laser deposition in open air
Appl. Phys. A 123 (2017) 657
P.A. Atanasov, N.N. Nedyalkov, R. Nikov, N. Fukata, W. Jevasuwan, T.Subramani, D. Hirsch, B. Rauschenbach,
SERS of insecticides and fungicides assisted by Au and Ag nanostructures produced by laser techniques
Intern. J. of Environmental & Agriculture Research 3 (2017) 61-69
M.C. Krueger, B. Seiwert, A. Prager, S. Zhang, B. Abel, H. Harms, D. Schlosser,
Degradation of polystyrene and selected analogues by biological Fenton chemistry approaches: Opportunities and limitations
Chemosphere 173 (2017) 520-528
K. Fischer, A. Gawel, D. Rosen, M. Krause, A. Abdul Latif, J. Griebel, A. Prager, A. Schulze,
Low-Temperature Synthesis of Anatase/Rutile/Brookite TiO2 Nanoparticles on a Polymer Membrane for Photocatalysis
Catalysts 7 (2017) 209-222
D. Breite, M. Went, A. Prager, A. Schulze,
The critical zeta potential: How pH value and salt concentration impact membrane fouling
Paper Nr. O3.17, 2017 International Congress on Membranes and Membrane Processes, San Francisco, USA, 29.07.-04.08 (2017)
A. Neff, F. Niefind, B. Abel, S. C. B. Mannsfeld, K. R. Siefermann,
Imaging Nanoscale Morphology of Semiconducting Polymer Films with Photoemission Electron Microscopy
Adv. Mater. 29 (2017) 1701012
F. Stolz, J. Appun, S. Naumov, C. Schneider, B. Abel,
A Complex Catalytic Reaction Caught in the Act: Intermediates and Products Sampling online by Liquid ¼-Beam Mass Spectrometry and Theoretical Modeling
ChemPlusChem 82(2) (2017) 233-240
C. Weilbeer, M. Sickert, S. Naumov, C. Schneider,
The Brønsted Acid-Catalyzed, Enantioselective Aza-Diels-Alder Reaction for the Direct Synthesis of Chiral Piperidones
Chem. Eur. J. 23 (2017) 513-518
N. Beyer, G. Steinfeld, V. Lozan, S. Naumov, R. Flyunt, B. Abel, B. Kersting,
Structure and Bonding in Nickel-Thiolate-Iodine Charge-Transfer Complexes
Chem. Eur. J. 23(10) (2017) 2303-2314
T. Bahners, S. Opiolka, A. Bankodad, S. Haep, L. Prager, J. S. Gutmann,
Lichtemittierende textile Strukturen als Anregungsquelle in photokatalytischen Luftfiltern
Technische Textilien 60 (2017) 254-257
N.S. Gunning, T. Dankwort, M. Falmbigl, U. Ross, G. Mitchson, D.M. Hamann, A. Lotnyk, L. Kienle, D.C. Johnson,
Expanding the concept of van der Waals heterostructures to interwoven 3D Structures
Chem. Mater. 29 (2017) 8292-8298
K. Nikolov, K. Bunk, A. Jung, J.W. Gerlach, P. Kaestner, C.-P. Klages,
Combined plasma surface modification of austenitic steel for bipolar plates
Surf. Coat. Tech. 328 (2017) 142-151
J.P. Porst, C. Altmann, C. Arnold, J. Kuhmann, C. Syring, H.-J. Leiter, M. Berger, A. Soto, F. Herty, F. Scholze, C. Eichhorn, C. Bundesmann,
The RIT 2X propulsion system: current development status
Paper Nr. IEPC-2017-505, 35th International Electric Propulsion Conference, Atlanta, Georgia, USA, 08.-12.10. (2017)
C. Bundesmann, F. Scholze, C. Eichhorn, D. Spemann, H. Neumann, F. Scortecci, H.J. Leiter, K. Holste, P.J. Klar,
Development of advanced in-situ EP diagnostic tools at IOM: Current status
Paper Nr. IEPC-2017-441, 35th International Electric Propulsion Conference, Atlanta, Georgia, USA, 08.-12.10. (2017)
F. Scortecci, D. Pagano, C. Bundesmann, C. Eichhorn, F. Scholze, D. Spemann, H. Leiter, H. Kersten, R. Blott, S. Mazouffre, P.J. Klar, D. Feili, J. Gonzales del Amo,
AEPD System as a Standard On-ground Tool for Electric Propulsion Thrusters
Paper Nr. IEPC-2017-33, 35th International Electric Propulsion Conference, Atlanta, Georgia, USA, 08.-12.10. (2017)
C. Bundesmann, T. Lautenschläger, D. Spemann, A. Finzel, M. Mensing, F. Frost,
Correlation of process parameters and properties of TiO2 films grown by ion beam sputter deposition from a ceramic target
Eur. Phys. J. B. 90 (2017) 187 (1-11)
Y. Li, H. Takino, F. Frost,
Characteristics of diamond turned NiP smoothed with ion beam planarization technique
J. Europ. Opt. Soc. - Rapid Publications 13 (2017) 27
N. Engel, S.I. Bokarev, A. Moguilevski, A.A. Raheem, R. Al-Obaidi, T. Mohle, G. Grell, K.R. Siefermann, B. Abel, S.G. Aziz, O. Kuhn, M. Borgwardt, I.Y. Kiyan, E.F. Aziz,
Light-induced relaxation dynamics of the ferricyanide ion revisited by ultrafast XUV photoelectron spectroscopy
Phys. Chem. Chem. Phys. 19(22) (2017) 14248-14255
S. Bochmann, A. Fernandez-Pacheco, M. Mackovic, A. Neff, K.R. Siefermann, E. Spiecker, R.P. Cowburn, J. Bachmann,
Systematic tuning of segmented magnetic nanowires into three-dimensional arrays of 'bits'
RSC Adv. 7 (2017) 37627-37635
C. Elsner, A. Prager, A. Sobottka, A. Lotnyk, B. Abel,
Coated triangular Ag nanoprisms as optical sensors: control of stability and spectral response with a thermo-responsive polymer
Anal. Methods 9 (2017) 4663-4672
C. Laube, Y.M. Riyad, A. Lotnyk, F.P. Lohmann, C. Kranert, R. Hermann, W. Knolle, T. Oeckinghaus, R. Reuter, A. Denisenko, A. Kahnt, B. Abel,
Defined functionality and increased luminescence of nanodiamonds for sensing and diagnostic applications by targeted high temperature reactions and electron beam irradiation.
Mater.Chem.Front. 1 (2017) 2527-2540
F.P. Lohmann, P.S.C. Schulze, M. Wagner, O. Naumov, A. Lotnyk, B. Abel, A. Varga ,
The Next Generation Solid Acid fuel Cell Electrodes: Stable, high Permormance with minimezed catalyst loading
J. of Mat. Chem. A 5 (2017) 15021-15025
M. Börner, L. Blömer, M. Kischel, P. Richter, G. Salvan, D.R.T. Zahn, P. F. Siles, M.E.N. Fuentes, C.C.B. Bufon, D. Grimm, O. Schmidt, D. Breite, B. Abel, B. Kersting ,
Deposition of exchange-coupled dinickel complexes on gold substrates utilizing ambidentate mercapto-carboxylato ligands
Beilstein J. Nanotech. 8 (2017) 1375-1387
M. D`Agostino, H.J. Risselada, A. Lurick, C. Ungermann, A. Mayer,
A tethering complex drives the terminal statge of SNARE-dependent membrane fusion
Nature 551 (2017) 634-638
T. John, T. Thomas, B. Abel, B.R. Wood, D.K. Chalmers, L.L. Martin ,
How kanamycin a interacts with bacterial and mammalian mimetic membranes
Biochim Biophys Acta 1859 (2017) 2242-2252
M.N. Pohl, C. Richter, E. Lugovoy, R. Seidel, P. Slavicek, E.F. Aziz, B. Abel, B. Winter, U. Hergenhahn,
Sensitivity of electron transfer mediated decay to ion pairing
J. Phys. Chem. B 121 (2017) 7709-7714
H.J. Risselada ,
Membrane fusion stalks and lipid rafts: A love-hate relationship
J. Biophys 112 (2017) 2475-2478
M. Saifutdinow, K. Uhlig, A. Prager, C. Schneider, B. Abel, D.M. Smith,
Nanoscale patterning of self-assembeld monolayer (SAM)-functionalised substrates with single molecule contact printing
Nanoscale 9 (2017) 15098-15106
S. Schulze, M. Pahl, F. Stolz, J. Appun, B. Abel, C. Schneider, D. Belder,
Liquid beam desorption mass spectrometry for the investigation of continuous flow reactions in microfluidic chips
Anal. Chem. 89 (2017) 6175-6181
S. Ullmann, R. Schnorr, M. Handke, C. Laube, B. Abel, J. Matysik, M. Findeisen, R. Ruger, T. Heine, B. Kersting,
Zn2+ -Ion sensing by Fluorescent Schiff Base Calix(4)arene Macrocycles
Chem. 23 (2017) 3824-3827
J. Hollenbach, C. Küster, H. Uhlig, M. Wagner, B. Abel, R. Gläser, W.D. Einicke, D. Enke, J. Matysik,
Hyperpolarized 129Xe NMR as an Alternative Approach for Investigating Structure and Transport in Ordered Mesoporous Materials Prepared via Pseudomorphic Transformation
J. Phys. Chem. C 121 (2017) 15804-15814
T. John, Z. Xiang Voo, C. Kubeil, B. Abel, B. Graham, L. Spicca, L.L. Martin,
Effects of guanidino modified aminoglycosides on mammalian membranes studied using a quartz crystal microbalance
Med. Chem. Comm. 8 (2017) 1112-1120
F. Zitzmann, H.-G. Jahnke, F. Nitschke, A.G. Beck-Sickinger, B. Abel, D. Belder, A. Robitzki,
A novel microfluidic microelectrode chip for a significantly enhanced monitoring of NPY-receptor activation in live mode
Lab Chip 17 (2017) 4294-4302
D. Manova, S. Mändl,
Nitrogen Transport in Expanded Austenite formed in Stainless Steels and CoCr Base Alloys
Mater. Perf. Char. 6 (2017) 617-641
P. Lorenz, I. Zagoranskiy, J. Zajadacz, M. Ehrhardt, B. Han, L. Bayer, K. Zimmer,
Stress-assisted shock-wave-induced thin-film delamination (SWIFD) of CIGS on a flexible substrate
J. Laser Micro/Nanoeng. 12 (2017) 91 - 96
R. Das, C. D. Vecitis, A. Schulze, B. Cao, A. F. Ismail, X. Lu, J. Chen, S. Ramakrishna,
Recent Advances of Nanomaterials for Water Protection and Monitoring
Chem. Soc. Rev. 46 (2017) 6946-7020
T. Pelras, S. Glass, T. Scherzer, C. Elsner, A. Schulze, B. Abel,
Transparent Low Molecular Weight Poly(Ethylene Glycol) Diacrylate-Based Hydrogels as Film Media for Photoswitchable Drugs
Polymers 9 (2017) 639
Th. Arnold, G. Boehm, H. Paetzelt,
Precision asphere and freeform optics manufacturing using plasma jet machining technology
Paper Nr. Proc. SPIE 10448, SPIE OPTIFAB 2017, Rochester, USA, 16.-19.10. (2017) 1044814
J. Bauer, T. Arnold,
Ultrapräzisionsbearbeitung von Aluminiumoptiken mit reaktiven Ionenstrahlen
12. Thementage Grenz- und Oberflächentechnik (ThGOT) und 5. Kolloquium Dünne Schichten in der Optik, Zeulenroda, 14.-16.03. (2017)
P.A. Atanasov, N.N. Nedyalkov, R.G. Nikov, N. Fukata, W.Jevasuwan, T. Subramani, D. Hirsch, B. Rauschenbach,
SERS analyses of thiamethoxan assisted by Ag films and nanostructures produced by laser techniques
J. Raman Spectrosc. 49 (2017) 397-403
S. Mändl,
Untersuchungen zur Dynamik schnell-veränderlicher Plasmarandschichten bei der Plasma-Immersions-Ionenimplantation mittels nicht-konventioneller Diagnostik
3rd Int. Workshop Diagnostic Systems for plasma processes , Lichtenwalde, Germany, 26.09. (2017) 29-42
S. Riedel, B. Heyart, K.S. Apel, S.G. Mayr,
Programing stimuli-responsiveness of gelatin with electron beams: basic effects and development of a hydration-controlled biocompatible demonstrator
Sci. Rep. 7 (2017) 17436
U. Allenstein, E.I. Wisotzki, C. Gräfe, J.H. Clement, Y. Lui, J. Schroers, S.G. Mayr,
Binary Fe-Pd submicron structures fabricated through glancing angle deposition (GLAD) for bioapplications
Mater. Des 131 (2017) 366-374
M. Ehrhardt, P. Lorenz, P. Yunxiang, L. Bayer, B. Han, K. Zimmer,
Laser-induced back-side etching with liquid and the solid hydrocarbon absorber films of different thicknesses
Appl. Phys. A 123 (2017) 251
M. Stiller, J. Barzola-Quiquia, P. D. Esquinazi, S. Sangiao, J. M. De Teresa, N. Meijer, B. Abel ,
Functionalized Akiyama tips for magnetic force microscopy measurements
Measurem. Sci. Technol. 28 (2017) -
R. Wunderlich, J. Kohlrautz, B. Abel, J. Haase, J. Meijer ,
Optically induced cross relaxation via nitrogen-related defects for bulk diamond 13C hyperpolarization
Phys. Rev. B 96 (2017) 220407(R)
S. Zernia, R. Frank, R. Weiße, H.-G. Jahnke, K. Bellmann-Sickert, A. Prager, B. Abel, N. Sträter, A. Robitzki, A.G. Beck-Sickinger,
Surface-Binding Peptide Facilitates Electricity-Driven NADPH-Free Cytochrome P450
Catalys. ChemCatChem 10 (2017) 525-530
F.D. Zitzmann, H.G. Jahnke, S.A. Pfeiffer, R. Frank, F. Nitschke, L. Mauritz, B. Abel, D. Belder, A. Robitzki,
Microfluidic Free-Flow Electrophoresis Based Solvent Exchanger for Continuously Coperating Lab-on-Chip Applications
Anal. Chem. 89 (2017) 13550-13558
P. Lorenz, I. Zagoranskiy, M. Ehrhardt, B. Han, L. Bayer, K. Zimmer,
Confinement assisted shock-wave-induced thin-film delamination (SWIFD) of copper indium gallium diselenide (CIGS) on a flexible substrate
Appl. Surf. Sci. 426 (2017) 527-535
P. Lorenz, C. Grüner, F. Frost, M. Ehrhardt, K. Zimmer,
Nanosecond laser nanostructuring of fused silica surfaces assisted by a chromium triangle template
Appl. Surf. Sci. 418 (2017) 481-486
P. Lorenz, I. Zagoranskiy, M. Ehrhardt, L. Bayer, K. Zimmer,
Nanostructuring of sapphire using time-modulated nanosecond laser pulses
Paper Nr. 100921P, Photonics West: Laser-based Micro- and Nanoprocessing XI, San Francisco, 17.02. (2017) 100921P
X. Sun, U. Roß, J. W. Gerlach, A. Lotnyk, B. Rauschenbach,
Nanoscale Bipolar Electrical Switching of Ge2Sb2Te5 Phase-Change Material Thin Films
Adv. Electron. Mater. 3 (2017) 1700283
J. Lehnert, J. Meijer, C. Ronning, D. Spemann, E. Vittone,
Ion Beam Induced Charge analysis of diamond diodes
Nucl. Instrum. Meth. B 404 (2017) 259-263
K.R. Siefermann, A. Neff,
Time-Resolved Photoemission Electron Microscopy
Reference Module in Chemistry, in Book: Molecular Sciences and Chemical Engineering (2017)
H.M. Alayan, M.A. Alsaadi, A. Abo-Hamad, M.K. AlOmar, M.M. Aljumaily, R. Das, M.A. Hashim,
Hybridizing carbon nanomaterial with powder activated carbon for an efficient removal of Bisphenol A from water: the optimum growth and adsorption conditions
Desalin. Water Treat. 95 (2017) 128-143
A. Lotnyk, U. Ross, I. Hilmi, X. Sun, B. Rauschenbach,
Local structures of crystalline Ge2Sb2Te5 phase-change alloy
Paper Nr. MS4.006, Conference: Microscopy Conference 2017 (MC 2017), Lausanne, Switzerland, 21.-25.08. (2017) 201-201
A. Lotnyk, U. Ross, T. Dankwort, I. Hilmi, L. Kienle, B. Rauschenbach,
Structure and dynamic of bilayer stacking faults in Ge-Sb-Te layered compounds
European Phase Change and Ovonics Symposium (E\PCOS 2017), Aachen, Germany, 03.-05.09. (2017) 69-70
X. Sun, A. Lotnyk, M. Ehrhardt, J.W. Gerlach, B. Rauschenbach,
Realization of multi-level states in phase-change thin films by very short laser pulse irradiation
European Phase Change and Ovonics Symposium (E\PCOS 2017), Aachen, Germany, 03.-05.09. (2017) 142-143
T. Dankwort, U. Ross, M. Falmbigl, G. Mitchson, D. Hamann, D. Johnson, A. Lotnyk, L. Kienle,
TEM investigation on misfit layer compounds of the system LaSe-VSe2-x
Paper Nr. MS6.P015, Microscopy Conference 2017 (MC 2017), Lausanne, Switzerland, 21.-25.08. (2017) 347
M.L.R. Souza, B.B. Fernandes, S. Mändl, R.M. Oliveira, M. Ueda, A.S. Ramos,
Effects of plasma immersion ion implantation on fatigue properties of titanium alloy surfaces
Rev. Bras. Apl. Vac. 36 (2017) 131-138
2016
M. Stiller, J. Barzola-Quiquia, P. Esquinazi, D. Spemann, J. Meijer, M. Lorenz, M. Grundmann,
Strong out-of-plane magnetic anisotropy in ion irradiated anatase TiO2 thin films
AIP Advances 6 (2016) 125009
M. Redzheb, L. Prager, S. Naumov, M. Krishtab, S. Armini, P. Van Der Voort, M. R. Baklanov,
Effect of the C-bridge length on the ultraviolet-resistance of oxycarbosilane low-k films
Appl. Phys. Lett. 108 (2016) 012902
M.E. Koleva, N.N. Nedyalkov, P.A. Atanasov, J.W. Gerlach, D. Hirsch, A. Prager, B. Rauschenbach, N. Fukata, W. Jevasuwan,
Porous plasmonic nanocomposites for SERS substrates fabricated by two-step laser method
J. Alloy. Compd. 665 (2016) 282-287
A. Lotnyk, S. Bernütz, X. Sun, U. Ross, M. Ehrhardt, B. Rauschenbach,
Real-space imaging of atomic arrangement and vacancy layers ordering in laser crystallised Ge2Sb2Te5 phase change thin films
Acta Materialia 105 (2016) 1-8
A. J. Bischoff, A. Landgraf, S. G. Mayr,
Stress-induced martensitic transformation, twin boundary mobility and elastic properties of vapor deposited Fe70Pd30 ferromagnetic shape memory alloy thin films
SCRIPTA MATER 111 (2016) 76-80
Th. Arnold, G. Boehm, H. Paetzelt,
New Freeform Manufacturing Chains based on atmospheric Plasma Jet Machining
J. Eur. Opt. Soc.-Rapid 11 (2016) 16002
Emilia I. Wisotzki, Ralf P. Friedrich, Astrid Weidt, Christoph Alexiou, Stefan G. Mayr and Mareike Zink,
Cellular Response to Reagent-Free Electron-Irradiated Gelatin Hydrogels
Macromolecular Bioscienc (2016)
S. Mayazur Rahman, Andreas Reichenbach, Mareike Zink, Stefan G. Mayr,
Mechanical spectroscopy of retina explants at the protein level employing nanostructured scaffolds
Soft Matter (2016)
P.A. Atanasov, N.E. Stankova, N.N. Nedyalkov, T.R. Stoyanchov, Ru G. Nikov, N. Fukata, J.W. Gerlach, D. Hirsch, B. Rauschenbach,
Properties of ns-laser processed polydimethylsiloxane (PDMS)
J. Phys. Conf. Ser. 700 (2016) 012023
A. J. Bischoff, A. Arabi-Hashemi, M. Ehrhardt, P. Lorenz, K. Zimmer, S. G. Mayr,
Shock wave induced martensitic transformations and morphology changes in Fe-Pd ferromagnetic shape memory alloy thin films
Appl. Phys. Lett. 108 (2016)
U. Ross, A. Lotnyk, E. Thelander, B. Rauschenbach,
Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films
Journal of Alloys and Compounds 676 (2016) 582-590
F. Scholze, C. Eichhorn, C. Bundesmann, D. Spemann, H. Neumann, A. Bulit, D. Feili, J. Gonzalez del Amo,
Performance Modelling of a Radio Frequency Plasma Bridge Neutralizer
Paper Nr. SP2016_3124790, Space Propulsion 2016, Rom, 02.-06.05. (2016)
C. Bundesmann, C. Eichhorn, F. Scholze, D. Spemann, H. Neumann, F. Scortecci, H.J. Leiter, K. Holste, P.J. Klar, A. Bulit, K. Dannenmayer, J. Gonzalez del Amo,
Improvements of the advanced electric propulsion diagnostic tools at IOM
Paper Nr. SP2016_3124791, Space Propulsion 2016, Rom, 02.-06.05. (2016)
C. Bundesmann, C. Eichhorn, F. Scholze, D. Spemann, H. Neumann, D. Pagano, S. Scaranzin, F. Scortecci, H.J. Leiter, S. Gauter, R. Wiese, H. Kersten, K. Holste, P. Köhler, P.J. Klar, S. Mazouffre, R. Blott, A. Bulit, K. Dannenmayer, J. Gonzales del Am,
Qualification of the AEPD system as a Standard On-ground Tool for Electric Propulsion Thrusters - Status and Perspectives
Paper Nr. SP2016_3124997, Space Propulsion 2016, Rom, 02.-06.05. (2016)
P. A. Atanasov, N. E. Stankova, N. N. Nedyalkov, N. Fukata, D. Hirsch, B. Rauschenbach, S. Amoruso, X. Wang, K. N. Kolev, E. I. Valova, J. S Georgieva, S. A. Armyanov,
Fs-laser processing of medical grade polydimethylsiloxane (PDMS)
Appl. Surf. Sci. 374 (2016) 229-234
R. Flyunt, W. Knolle, A. Kahnt, C. E. Halbig, A. Lotnyk, T. Haupl, A. Prager, S. Eigler, B. Abel,
High quality reduced graphene oxide flakes by fast kinetically controlled and clean indirect UV-induced radical reduction
Nanoscale 8 (2016) 7572-7579
P. Saikia, H. Bhuyan, D.E. Diaz-Droguett, F. Guzman, S. Mändl, B.K. Saikia, M. Favre, J. Maze, E Wyndham,
Effect of hydrogen addition on the deposition of titanium nitride thin films in nitrogen added argon magnetron plasma
J. Phys. D Appl. Phys. 49 (2016) 225203
A. Lotnyk, U. Ross, S. Bernütz, E. Thelander, B. Rauschenbach,
Local atomic arrangements and lattice distortions in layered Ge-Sb-Te crystal structures
Sci. Rep. 6 (2016) 26724
F. Siewert, T. Zeschke, T. Arnold, H. Paetzelt, V. V. Yashchuk,
Linear chirped slope profile for spatial calibration in slope measuring deflectometry
Rev. Sci. Instrum. 87 (2016) 051907
R.G. Nikov, N.N. Nedyalkov, P.A. Atanasov, D. Hirsch, B. Rauschenbach, K. Grochowska, G. Sliwinski,
Characterization of Ag nanostructures fabricated by laser-induced dewetting of thin films
Appl. Surf. Sci. 374 (2016) 36-41
D. Manova, A. Lotnyk, S. Mändl, H. Neumann, B. Rauschenbach,
CrN Precipitation and Elemental Segregation During the Decay of Expanded Austenite
Mater. Res. Express 3 (2016) 066502
X. Sun, M. Ehrhardt, A. Lotnyk, P. Lorenz, E. Thelander, J. W. Gerlach, T. Smausz, U. Decker, B. Rauschenbach,
Crystallization of Ge2Sb2Te5 thin films by nano- and femtosecond single laser pulse irradiation
Sci. Rep. 6 (2016) 28246
A. Lotnyk, U. Ross, S. bernütz, E. Thelander, B. Rauschenbach,
Local atomic arrangements and lattice distortions in layered G-Sb-Te crystal structures
Scientific Reports 6 (2016) 26724-26736
A. Reinhardt, H. Frenzel, H. von Wenckstern, D. Spemann, M. Grundmann,
Electron transport mechanism in rf-sputtered amorphous zinc oxynitride thin films
Phys. Status Solidi A 213 (2016) 1767-1773
J. Zhao, R. Ma, X. Chen, B. Kang, X. Qiao, J. Du, X. Fan, U. Ross, C. Roiland, A. Lotnyk, L. Kienle, X. Zhang,
From Phase Separation to Nanocrystallization in Fluorosilicate Glasses: Structural Design of Highly Luminescent Glass-Ceramics
J. Phys. Chem. C 120 (2016) 17726-17732
T. Smausz, T. Csizmadia, C. Tápai, J. Kopniczky, A. Oszkó, M. Ehrhardt, P. Lorenz, K. Zimmer, A. Prager, B. Hopp,
Study on the effect of ambient gas on nanostructure formation on metal surfaces during femtosecond laser ablation for fabrication of low-reflective surfaces
Appl. Surf. Sci. 389 (2016) 1113-1119
Y. X. Pan, M. Ehrhardt, P. Lorenz, B. Han, B. Hopp, C. Vass, X. W. Ni, K. Zimmer,
Influence of the confinement on laser-induced dry etching at the rear side of fused silica
Appl. Phys. A 122 (2016) 365
J.-Y. Cheng, W.-C. Kao, H.-F. Chang, W.-C. Hsu, M.-H. Yen, M. Ehrhardt, K. Zimmer,
High-Quality Surface Micromachining on Polymer Using Visible-LIBWE
J. Laser Micro/Nanoeng. 11 (2016) 117-123
S. Zernia, F. Ott, K. Bellmann-Sickert, R. Frank, M. Klenner, H.-G. Jahnke, A. Prager, B. Abel, A. Robitzki, and A. G. Beck-Sickinger ,
Peptide-Mediated Specific Immobilization of Catalytically Active Cytochrome P450 BM3 Variant
Bioconjugate Chem 27 (4) (2016) 1090-1097
G. Mirschel, O. Daikos, T. Scherzer, C. Steckert,
Near-Infrared Chemical Imaging Used for In-line Analysis of Inside Adhesive Layers in Textile Laminates
Anal. Chim. Acta 932 (2016) 69-79
A. Tekle-Röttering, C. von Sonntag, E. Reisz, C. vom Eyser, H. V. Lutze, J. Türk, S. Naumov, W. Schmidt, T. C. Schmidt,
Ozonation of anilines: Kinetics, stoichiometry, product identification and elucidation of pathways
Water Res. 98 (2016) 147-159
A. Kahnt, R. Flyunt, S. Naumov, W. Knolle, S. Eigler, R. Hermann, B. Abel,
Shedding light on the soft and efficient free radical induced reduction of graphene oxide: hidden mechanisms and energetics
Royal Soc. Chem. Adv. 6 (2016) 68835-68845
M. Redzheb, L. Prager, M. Krishtab, S. Armini, K. Vanstreels, A. Franquet, P. Van Der Voort, M. R. Baklanov,
UV cure of oxycarbosilane low-k films
Microlelectron. Eng. 156 (2016) 103-107
A. Schulze, M. Went, A. Prager,
Membrane Functionalization with Hyperbranched Polymers
Materials 9 (2016) 706
D. Breite, M. Went, I. Thomas, A. Prager, A. Schulze,
Particle Adsorption on a Polyether Sulfone Membrane: How Electrostatic Interactions Dominate Membrane Fouling
RSC Adv. 6 (2016) 65383-65391
A. Schulze, D. Breite, M. Went, A. Prager,
Surface Charge Electrostatic Interactions Dictate Membrane Fouling
PERMEA & MELPRO 2016, Prague, Czech Republic, 15.-19.5. (2016) 154
A. Schulze, I. Thomas, A. Prager,
Biocatalytic Self-Cleaning Polymer Membranes
Paper Nr. S3:398W-24, Advances in Functional Materials Conference 2016, Jeju Island, Südkorea, 8.-11.08. (2016) 54
P. Frach, D. Glöß, H. Bartzsch, T. Goschurny, A. Drescher, C. Gottfried, J.-S. Liebig, J. Hartung, A. Heisig, H. Grune, L. Leischnig, S. Leischnig, H. Neumann, C. Bundesmann,
Precision Optical Coatings on Large Substrates by Reactive Magnetron Sputtering
Optical Interference Coatings (OIC), Tucson, Arizona, USA, 19.-24.06. (2016) Paper WA.11
D.Spemann, P. D. Esquinazi,
Evidence for Magnetic Order in Graphite from Magnetization and Transport Measurements
Springer Series in Materials Science 244 (2016) 45-76
M. Ehrhardt, P. Lorenz, L. Bayer, C. Molpeceres, C. A. H. Ramirez, K. Zimmer,
Selective structuring of multi-layer functional thin films using a laser-induced shockwave delamination process
Paper Nr. 973503, Laser Applications in Microelectronic and Optoelectronic Manufacturing (LAMOM) XX, SPIE, San Fransisco, 14.3 (2016)
F. Haase, D. Manova, H. Kersten, S. Mändl,
Evidence of Secondary Electron Emission during PIII Pulses as Measured by Calorimetric Probe
Eur. Phys. J. D 70 (2016) 186
T. Lautenschläger, R. Feder, H. Neumann, C. Rice, M. Schubert, C. Bundesmann,
Ion beam sputtering of Ti: Influence of process parameters on angular and energy distribution of sputtered and backscattered particles
Nucl. Instrum. Methods Phys. Res., Sect. B 385 (2016) 30-39
M. Hennes, A. M. Jakob, F. Lehnert, U. Ross, A. Lotnyk, S. G. Mayr,
Nanometer-resolved quantification of mechanical response in nanoparticle-based composites
Nanoscale 8 (2016) 9398-9404
S. Rupf, Th. Arnold, A. Lehmann, A. Schindler,
Perspektiven für Prävention und Therapie der Zahnkaries, in: Plasmamedizin - Kaltplasma in der medizinischen Anwendung, H.-R. Metelmann, Th. v. Woedke, K.-D. Weltmann, Hrsg., Springer Verlag Heidelberg
Plasmamedizin - Kaltplasma in der medizinischen Anwendung (2016) 159-164
F. Lehnert, T. Häupl, B. Abel, S.G. Mayr,
Gallium ion irradiation induced compaction and hardening of sputter deposited amorphous carbon thin films
Materials & Design 112 (2016) 512
S. Reichelt,
Electron-beam generated macroporous cryogels
IIR Workshop on Cold Applications in Life Sciences, Dresden, 08.09.-09.09. (2016)
P. Lorenz, M. Ehrhardt, L. Bayer, K. Zimmer,
Shock-wave-induced Thin-film Delamination (SWIFD): A Non-thermal Structuring Method of Functional Layers
Physics Procedia 83 (2016) 240-248
M. Ehrhardt, P. Lorenz, L. Bayer, I. Zagoranskiy, K. Zimmer,
Laser Patterning of CIGS thin Films with 1550 nm Nanosecond Laser Pulses
Physics Procedia 83 (2016) 74-82
P. Lorenz, C. Grüner, M. Ehrhardt, L. Bayer, K. Zimmer,
Nanostructuring of Fused Silica Assisted by Laser-shaped Metal Triangles Using a Nanosecond Laser
Physics Procedia 83 (2016) 62-73
L. Bayer, M. Ehrhardt, P. Lorenz, M. Mäser, N. Kechagias, K. Zimmer,
Large-area picosecond laser-induced periodic surface structure (LIPSS) on chromium
Paper Nr. 11283 , LANE 2016 - 9th International Conference on Photonic Technologies, Fürth, Deutschland, 22.09.2016 (2016)
C. Bundesmann, C. Eichhorn, F. Scholze, D. Spemann, H. Neumann, D. Pagano, S. Scaranzin, F. Scortecci, H.J. Leiter, S. Gauter, R. Wiese, H. Kersten, K. Holste, P. Köhler, P.J. Klar, S. Mazouffre, R. Blott, A. Bulit, K. Dannenmayer,
An advanced electric propulsion diagnostic (AEPD) platform for in-situ characterization of electric propulsion thrusters and ion beam sources
Eur. Phys. J. D 70 (2016) 212 (1-11)
D. Breite, M. Went, A. Prager, A. Schulze,
The Critical Zeta Potential of Polymer Membranes: How Electrolytes Dictate Membrane Fouling
RSC Adv. 6 (2016) 98180-98189
C. F. Jones, K. R. Siefermann et. al. ,
Coupled motion of Xe clusters and quantum vortices in He nanodroplets
Phys. Rev. B 93 (2016) 1805-1810
E. Fasshauer, M. Foerstel, M. Mucke, U. Hergenhahn ,
Theoretical and Experimental Investigation of Electron Transfer Mediated Decay in ArKrClusters
J. Chem. Phys. - (2016) -
M. Foerstel, M. Mucke, T. Arion, U. Hergenhahn, E. Fasshauer,
Long-Range Interatomic Coulombic Decay in ArXe Clusters: Experiment and Theory
J. Phys. Chem. C 120 (2016) 22957-22971
J. Stanja, U. Hergenhahn, H. Niemann, C. Piochacz,
Characterization of the NEPOMUC primary and remoderated positron beams at different energies
Nucl. Instrum. Meth. A 827 (2016) 52-62
A. Hans, A. Knie, M. Foerstel, U. Hergenhahn, A. Ehresmann,
Determination of absolute cross sections for cluster-specific decays
J. Phys. B-at Mol. Opt. 49 (2016) -
M. D`agostino, H. J. Risselada, A. Mayer,
Steric hindrance of SNARE transmembrane domain organization impairs the hemifusion-to-fusion transition
EMBO Rep. 17 (2016) 1590-1608
A. Neff, K. R. Siefermann,
Die Zukunft der ultraschnellen Spektroskopie
Nachrichten aus der Chemie-GDCh Trendberichte 64 (2016) 316-319
C. Appiah, K. R. Siefermann, M. Jorewitz, H. Barqawi, W. H. Binder,
Synthesis and characterization of new photoswitchable azobenzene-containing poly(e-caprolactones)
RSC Adv. 6 (2016) 6358-6367
P. S. With, U. Helmstedt, S. Naumov, A. Sobottka, U. Decker, A. Prager, R. Heller, B. Abel, L. Prager ,
Low Temperature Photochemical Conversion of Organometallic Precursor Layers to Titanium(IV) Oxide Thin Films
Chem. Mater. 28 (2016) 7715-7724
A. Gladytz, B. Abel, H. J. Risselada,
Gold-Induced Fibril Growth: The Mechanism of Surface-Facilitated Amyloid Aggregation
Angew. Chem. Int. Ed. 55 (2016) 11242-11246
A. Gladytz, T. John, T. Gladytz, R. Hassert, M. Pagel, H. J. Risselada, S. Naumov, A. G. Beck-Sickinger, B. Abel,
Peptides@mica: From affinity to adhesion mechanism
Phys. Chem. Chem. Phys. 18 (2016) 23516-23527
J. Adler, M. Baumann, B. Voigt, B. Abel et. al. ,
A detailed analysis of the morphology of fibrils of selectively mutated amyloid beta (1-40)
Chem. Phys. Chem. 17 (2016) 2744-2753
M. Pagel, R. Hassert, T. John, K. Braun, M. Wießler, B. Abel, A. G. Beck-Sickinger,
Multifunctional Coating improves cell adhesion on Titanium by using cooperatively acting peptides
Angew. Chem. Int. Ed. Engl. 55 (2016) 4826-4830
M. Wild, J. Griebel, A. Hajduk, D. Friedrich, A. Stark, B. Abel, K. R. Siefermann,
Efficient synthesis of triarylaminebased dyes for p-type dyesensitized solar cells
Sci. Rep. 6 (2016) 262-263
B. Rauschenbach, F. Frost,
Constructive and destructive routes to prepare nanostructures on surfaces by low-energy ion beam sputtering
Proceed. SPIE (Eds. A. Lakhtakia, T.G. Mackay, M. Suzuki) 9929 (2016)
Th. Arnold, G. Böhm, H. Paetzelt,
Non-conventional ultra-precision manufacturing of ULE® mirror surfaces using atmospheric reactive plasma jets
Paper Nr. 99123N, SPIE Telescopes + Instrumentation, Edinburgh, 26.06.-01.07. (2016)
Th. Arnold, J. Bauer,
Ion beam figuring of RSA aluminium surfaces
Paper Nr. O3.4, EUSPEN 16th International Conference, Nottingham, UK, 30.05.-03.06. (2016)
S. Liedtke*, Ch. Grüner, M. Mensing, J.W. Gerlach, B. Rauschenbach,
Metallic Nanostructures Prepared by Glancing Angle Deposition
EUROPEAN CONFERENCE ON NANOFILMS, Bilbao, Spain, 19.-21.10. (2016) 116-117
I. Hilmi, E. Thelander, P. Schumacher, J. W. Gerlach, B. Rauschenbach ,
Epitaxial Ge2Sb2Te5 Films on Si(111) prepared by pulsed laser deposition
Thin Solid Films 619 (2016) 81-85,10.1016/j.tsf.2016.
P. Piechulla, J. Bauer, G. Boehm, H. Paetzelt, Th. Arnold,
Etch Mechanism and Temperature Regimes of an Atmospheric Pressure Chlorine-Based Plasma Jet Process
Plasma Process. Polym. 13 (2016) 1128-1135
S. Germer, F. Pietag, J. Polak, and Th. Arnold,
Quantitative low-energy ion beam characterization by beam profiling and imaging via scintillation screens
Rev. Sci. Instrum. 87 (2016)
S. Grawe,S. Augustin-Bauditz, S. Hartmann, L. Hellner, J.B.C. Pettersson, A. Prager, F. Stratmann, H. Wex ,
The immersion freezing behavoir of ash particles from wood and brown coal burning
Atmos. Chem. Phys. 16 (2016) 13911-13928
O. Daikos, S. Naumov, W. Knolle, K. Heymann, T. Scherzer,
Peculiarities of the Photoinitator-Free Photopolymerization Reactions of Pentabrominated and Pentfluorinated Aromatic Acylates and Methacrylates
Phys. Chem. Chem. Phys. 18 (2016) 32369-32377
A. Hans, L. B. Ltaief, M. Förstel, P. Schmidt, C. Ozga, P. Reiß, X. Holzapfel, C. Küster-Wetekam, F. Wiegandt, F. Trinter, U. Hergenhahn, T. Jahnke, R. Dörner, A. Ehresmann, P. V. Demekhin, A. Knie,
Fluorescence cascades evoked by resonant interatomic Coulombic decay of inner-valence excited neon clusters
Chem. Phys. - (2016) -
O. Naumov, S. Naumov, R. Flyunt, B. Abel, A. Varga,
Fast Degradation for High Activity: Oxygen- and Nitrogen-Functionalized Carbon Nanotubes in Solid-Acid Fuel-Cell Electrodes
Chem.Sus.Chem. 9 (2016) 1-10
S. Thönes, L.M. Kutz, S. Oehmichen, J. Becher, K. Heymann, A. Saalbach, W. Knolle, M. Schnabelrauch, S. Reichelt, U. Anderegg,
New E-beam-initiated hyaluronan acrylate cryogels support growth and matrix deposition by dermal fibroblasts
Int. J. Biol. Macromolec. 94 (2016) 611-620
K. Fischer, A. Abdul Latif, A. Schulze,
Direct formation of TiO2 nanoparticles on a PVDF membrane: Optimization of synthesis
Paper Nr. P 1.3, 16th Aachener Membran Kolloquium, Aachen, 02.-03.11. (2016) 187
D. Breite, M. Went, I. Thomas, A. Prager, A. Schulze,
Determination of electrostatic interactions during membrane fouling: A novel approach using charged polystyrene beads
Paper Nr. L 9.3, 16th Aachener Membrankolloquium, Aachen, 02.-03.11. (2016) 115-119
M. Stiller, J. Barzola-Quiquia, P. Esquinazi, D. Spemann, J. Meijer, M. Lorenz, M. Grundmann,
Strong out-of-plane magnetic anisotropy in ion irradiated anatase TiO2 thin films
AIP Advances 6 (2016) 125009
C. Elsner, B. Abel,
Mass Spectrometric Imaging of Gold Nanolayer Coated Latent Fingermarks: Deciphering Overlapping Features by Statistical Analysis Advances
Chem. Eng. Scien. 6 (2016) 584-599
F. Stolz, J. Appun, S. Naumov, C. Schneider, B. Abel,
A Complex Catalytic Reaction Caught in the Act: Intermediates and Products Sampling Online by Liquid-µ-Beam. Mass Spectrometry and Theoretical Modeling
Chem. Plus Chem. - (2016) -
N. Beyer, G. Steinfeld, V. Lozan, S. Naumov, R. Flyunt, B. Abel, B. Kersting,
Structure and Bonding in Nickel-Thiolate-Iodine Charge-Transfer Complexes
Chem. Eur. J. - (2016) -
Y. Riyad ,
Thioanisole triplet: Laser flash photolysis and pulse radiolysis studies
J. Photochem. Photobiol. - (2016) -
P. Kumar, P. C. With, V. C. Srivastava, R. Gläser, I. M. Mishra,
Efficient ceria-zirconium oxide catalyst for carbon dioxide conversions: Characterization, catalytic activity and thermodynamic study
J. Alloys Compd. 696 (2016) 718-726
P. Kumar, V. C. Srivastava, R. Gläser, P. C. With, I. M. Mishra,
Active ceria-calcium oxide catalysts for dimethyl carbonate synthesis by conversion of CO2
Powder Technol. 309 (2016) 13-21
P. Kumar, P. C. With, V. C. Srivastava, K. Shukla, R. Gläser, I. M. Mishra,
Dimethyl carbonate synthesis from carbon dioxide using ceria-zirconia catalysts prepared using a templating method: characterization, parametric optimization and chemical equilibrium modeling
RSC Adv. 6 (2016) 110235-110246
C. Precker, P. Esquinazi, A. Champi, J. Barzola-Quiquia, M. Zoraghi, S. Muinos-Landin, A. Setzer, W. Böhlmann, I. Lorite, D. Spemann, J. Meijer, T. Münster, O. Bähre, G. Klöss, H. Beth,
Identification of a possible superconducting transition above room temperature in natural graphite crystals
New J. Phys 18 (2016) 113041,10.1088/1367-2630/
U. Ross, A. Lotnyk, E. Thelander, B. Rauschenbach,
Real structure of highly oriented Ge-Sb-Te thin films investigated by Cs-corrected STEM
Paper Nr. 6711, The 16th European Microscopy Congress, Lyon, France, 228.08-02.09 (2016)
A. Lotnyk, S. Bernütz, X. Sun, U. Ross, M. Ehrhardt, B. Rauschenbach,
Electron beam induced in situ writing and recovering of vacancy layers in Ge2Sb2Te5 crystal lattice
Paper Nr. 6242, The 16th European Microscopy Congress, Lyon, France, 28.08-02.09 (2016)
A. Lotnyk, U. Ross, S. Bernütz, E. Thelander, B. Rauschenbach ,
Evaluation of local atomic arrangements and lattice distortions in layered Ge-Sb-Te crystal structures
Paper Nr. 6108, The 16th European Microscopy Congress, Lyon, France, 28.08.-02.09. (2016)
E. I. Wisotzki, D. Eberbeck, H. Kratz, S. G. Mayr,
Magnetic response of gelatin ferrogels across the sol-gel transition: the influence of high energy crosslinking on thermal stability
Soft Matter 12(17) (2016) 3908-3918
E. I. Wisotzki, R. P. Friedrich, A. Weidt, C. Alexiou, S. G. Mayr, M. Zink,
Cellular response to reagent-free electron-irradiated gelatin hydrogels
Macromol. Biosci. 6(16) (2016) 914-924
A. Lotnyk, U. Ross, I. Hilmi, X. Sun, B. Rauschenbach,
Formation and direct imaging of heterogeneous vacancy structures in cubic Ge2Sb2Te5 crystal lattices
Paper Nr. 4.5, European Phase Change and Ovonic Symposium, Cambridge, UK, 04.-06.09. (2016) 86-87
F. Bauer, S. Czihal, M. Bertmer, U. Decker, S. Naumov, S. Wassersleben, D. Enke,
Water-based functionalization of mesoporous siliceous materials, Part 1: Morphology and stability of grafted 3-aminopropyltriethoxysilane
Microporous and Mesoporous Materials (2016)
F. Koch, M. Burkhardt, D. Lehr, M. Schnabel, M. Helgert, R. Fechner, F. Frost, T. Glaser,
Variety of gratings manufactured by interference lithography
Asian J. Phys. 25 (2016) 881 - 894
M. Burkhardt, P. Triebel, T. Diehl, L. Erdmann, A. Kalies, M. Helgert, R. Fechner, F. Frost, A. Gatto,
Manufacturing of deep blazed gratings based on holography
Paper Nr. ID234, European Optical Society Bi-Annual Meeting (EOSAM) 2016, Berlin, 26.-30.09. (2016)
M. Pagel, R. Hassert, T. John, K. Braun, M. Wießler, B. Abel, A.G. Beck-Sickinger,
Multifunktionale Beschichtung verbessert Zelladhäsion auf Titan durch kooperativ wirkende Peptide
Angew. Chemie. 128 (2016) 4907-4911
2015
K. Fischer, M. Grimm, J. Meyers, C. Dietrich, R. Gläser, A. Schulze,
Photoactive microfiltration membranes via directed synthesis of TiO2 nanoparticles on the polymer surface for removal of drugs from water
J. Membr. Sci. 478 (2015) 49-57
M. Baklanov, L. Zhang, J.-F. de Marneffe, M. Heyne, S. Naumov, Y. Sun, A. Zotovich, Z. El Otell, S. De Gendt, F. Vajda.,
Improved plasma resistance for porous low-k dielectrics by pore stuffing approach
ECS Journal of Solid State and Technology 4(1) (2015) 3098-3107
P. Nalawade, S. Naumov, S. Kapoor,
Hidden chemistry of substituted aniline radical cations in water: A mechanistic study.
J. Phys. Org. Chem. 28 (2015) 2-9
K. Fischer, M. Kühnert, R. Gläser, A. Schulze,
Photocatalytic Degradation and Toxicity Evaluation of Diclofenac by Nanotubular Titanium Dioxide PES Membrane in a Static and Continuous Setup
RSC Adv. 5 (2015) 16340-16348
A. Tallaire, M. Lesik, V. Jacques, S. Pezzagna, V. Mille, O. Brinza, J. Meijer, B. Abel, J.F. Roch, A. Gicquel, J. Achard,
Temperature dependent creation of nitrogen-vacancy centers in single crystal CVD diamond layers
Diam. Relat. Mater 51 (2015) 55-60
A. Meiners, M. Leck, B. Abel,
Compact High-Velocity Atmospheric Pressure Dielectric Barrier Plasma Jet in Ambient Air
Plasma Sci Tech 17 (2015) 14-19
C. Schurig, C.W. Mueller, C. Höschen, A. Prager, E. Kothe, H. Beck, A. Miltner, M. Kästner,
Methods for visualising active microbial benzene degraders in in situ microcosms
APPL MICROBIOL BIOT 99 (2015) 957-968
C. Eichhorn, D. Manova, R. Feder, R. Wunderlich, C. Nömayr, C. G. Zimmermann, H. Neumann,
Sputter yield measurements of thin foils using scanning transmission ion microscopy
Eur. Phys. J. D 69 (2015) 19
H. Paetzelt, G. Böhm, Th. Arnold,
Etching of silicon surfaces using atmospheric plasma jets
Plasma Sources Sci. Technol. 24 (2015) 025002
T. Gladytz, B. Abel, K. R. Siefermann,
Expansion dynamics of supercritical water probed by picosecond time-resolved photoelectron spectroscopy
Phys. Chem. Chem. Phys. 17 (2015) 4926-4936
K. Schröck, J. Lutz, S. Mändl, M.C. Hacker, M. Kamprad, M. Schulz-Siegmund,
Co(II)-mediated effects of plain and PIII-modified cobalt-chromium alloys on the osteogenic differentiation of human mesenchymal stem cells
J ORTHOPAED RES 33 (2015) 325-333
D. Manova, C. Díaz, L. Pichon, G. Abrasonis, S. Mändl,
Comparability and Accuracy of Nitrogen Depth Profiling in Nitrided Austenitic Stainless Steel
Nucl. Instrum. Meth. B 349 (2015) 106-113
J. Buchwald and S. G. Mayr,
Influence of surface stresses on indentation response
Nanotechnology 26 (2015) 125704
A. Arabi-Hashemi and S. G. Mayr,
Exploring electrical conductivity anomalies across the martensite transition in Fe7Pd3 ferromagnetic shape memory alloys: experiments and ab-initio calculations
Appl. Phys. Lett. 106 (2015) 091906
M.F. Sarmanova, H. Karl, S. Mändl, D. Hirsch, S.G. Mayr, B. Rauschenbach,
Elastic properties of sub-stoichiometric nitrogen ion implanted silicon
Nucl. Instrum. Meth. B 349 (2015) 169-172
Th. Arnold, G. Boehm, H. Paetzelt, F. Pietag,
Ion beam and plasma jet based methods in ultra-precision optics manufacturing
Proc. SPIE, Optics and Measurement Conference 2014 9442 (2015) 944204
C. Díaz, S. Mändl, R. Pereiro, B. Fernández,
Nanomodificated Surface CoCr Alloy for Corrosion Protection of MoM Prosthesis
Journal of Biomaterials and Nanobiotechnology 6 (2015) 91-99
A. de Luca, A. Weidt, M. Zink, S.G. Mayr and A. E. Markaki,
Effect of microgrooved surface topography on osteoblast maturation and protein adsorption
Journal of Biomedical Materials Research: Part A. (2015) DOI: 10.1002/jbm.a.35407
C. Schmidt, T. Scherzer,
Monitoring of the Shrinkage during the Photopolymerization of Acrylates Using Hyphenated Photorheometry / NIR Spectroscopy
J. Polym. Sci. Pol. Phys. 53 (2015) 729-739
D. Poppitz, A. Lotnyk, J.W. Gerlach, J. Lenzner, M. Grundmann, B. Rauschenbach,
An aberration-corrected STEM study of structural defects in epitaxial GaN thin films grown by ion beam assisted MBE
MICRON 73 (2015) 1-8
M. Kubus, A.M. KBonkowski, A. Lotnyk, L. Kienle,
Luminescence enhancement in composite material: CaWO4:Tb3+ nanocrystals incorporated into silica xerogel
MATER CHEM PHYS 149-150 (2015) 424-429
S.K. Srivastava, H.B. Hamo, A. Kushmaro, R.S. Marks, Ch. Grüner, B. Rauschenbach, I. Abdulhalim,
Highly sensitive and specific detection of E. coli by a SERS nanobiosensor chip utilizing metallic nanosculptured thin films
Analyst 140 (2015) 3201-3209
Th. Arnold, F. Pietag,
Ion beam figuring machine for ultra-precision silicon spheres correction
Precision Engineering 41 (2015) 119-125
T. Titze, C. Chmelik, J. Kullmann, L. Prager, E. Miersemann, R. Gläser, D. Enke, J. Weitkamp, J. Kärger,
Microimaging of transient concentration profiles of reactant and product molecules during catalytic conversion in nanoporous materials
Angew. Chem.-Int. Edit. 54 (2015) 5060-5064
U. Allenstein, S. G. Mayr , M. Zink,
Contractile cell forces deform macroscopic cantilevers and quantify biomaterial performance
Soft Matter (2015) DOI: 10.1039/c5sm01212k
P. Lorenz, M. Klöppel, T. Smausz, T. Csizmadia, M. Ehrhardt, K. Zimmer, B. Hopp,
Dynamics of the laser-induced nanostructuring of thin metal layers: experiment and theory
Mater. Res. Express 2 (2015) 026501
P. Lorenz, M. Klöppel, T. Smausz, T. Csizmadia, M. Ehrhardt, K. Zimmer, B. Hopp,
Time dependency of the laser-induced nanostructuring process of chromium layers with different thicknesses on fused silica
Appl. Surf. Sci. 336 (2015) 176-181
P. Lorenz, L. Bayer, M. Ehrhardt, K. Zimmer, L. Engisch,
Nanosecond laser-induced ablation and laser-induced shockwave structuring of polymer foils down to sub-¼m patterns
Photonics West 2015, Proc. of SPIE, San Francisco, 07.-12.02. (2015) 935119-11
P. Lorenz, M. Klöppel, M. Ehrhardt, K. Zimmer, P. Schwaller,
Nanosecond laser-induced nanostructuring of thin metal layers and dielectric surfaces
Paper Nr. 93511T-10, Photonics West 2015, Laser-based Micro- and Nanoprocessing IX, San Fransisco, 07.-12.02. (2015) 93511T-10
C. Vass, B. Kiss, R. Flender, Z. Felház, P. Lorenz, M. Ehrhardt, K. Zimmer,
Comparative Study on Grating Fabrication in Transparent Materials by TWIN-LIBWE and Ultrashort Pulsed Ablation Techniques
J. Laser Micro/Nanoeng. 10 (2015) 38-42
S. N. Britvin, A. Lotnyk ,
Water-Soluble Phosphine Capable of Dissolving Elemental Gold: The Missing Link between 1,3,5-Triaza-7-phosphaadamantane (PTA) and Verkade s Ephemeral Ligand
J. Am. Chem. Soc. 137 (2015) 5526-5535
A. Arabi-Hashemi, Y. Ma, A. Setzer, P. Esquinazic, S.G. Mayr,
Shifting martensite transformation temperatures of single crystalline Fe72Pd28 thin lms by external magnetic elds
Scripta Materialia 104 (2015) 91
J. Zajadacz, P. Lorenz, F. Frost, R. Fechner, C. Steinberg, H.-C. Scheer, K. Zimmer,
Reactive ion beam etching of fused silica using vertical lamellar patterns of PS-b-PMMA diblock copolymer masks
Microelectron. Eng. 141 (2015) 289-293
M. Hennes, J. Buchwald, U. Ross, A. Lotnyk, S. G. Mayr,
Equilibrium segregation patterns and alloying in Cu/Ni nanoparticles: experiments versus modeling
Phys. Rev. B 91 (2015) 245401
A. Arabi-Hashemi, R. Witte, A. Lotnyk, R. A. Brand, A. Setzer, P. Esquinazi, H. Hahn, R. S. Averback and S. G. Mayr,
Ion-irradiation-assisted tuning of phase transformations and physical properties in single crystalline Fe7Pd3 ferromagnetic shape memory alloy thin films
New J. Phys 17 (2015) 053029
P. Lorenz, T. Smausz, T. Csizmadia, M. Ehrhardt, K. Zimmer, B. Hopp,
Shadowgraph studies of laser-assisted non-thermal structuring of thin layers on flexible substrates by shock-wave-induced delamination processes
Applied Surface Science 336 (2015) 43-47
C. Bundesmann, R. Feder, R. Wunderlich, U. Teschner, M. Grundmann, H. Neumann,
Ion beam sputter deposition of Ge films: Influence of process parameters on film properties
Thin Solid Films 589 (2015) 487-492
X. Sun, E. Thelander, J.W. Gerlach, U. Decker, B. Rauschenbach,
Crystallization kintics of GeTe phase-change thin films grown by pulsed laser deposition
J. Phys. D Appl. Phys. 48 (2015) 295304
F. Pietag, Th. Arnold,
Ultra-precision surface finishing using pulsed ion beams
Proceedings of EUSPEN International Conference 2015, Leuven, Belguim, 01.-05.06. (2015) 361
Th. Arnold, G. Boehm, H. Paetzelt,
New Freeform Manufacturing Chain based on atmospheric Plasma Jet Machining
Paper Nr. FTh1B.3 Freeform Optics, Proceedings Imaging and Applied Optics 2015, Arlington, USA, 07.-11.06. (2015)
Th. Arnold, G. Boehm, H. Paetzelt,
Free Form Manufacturing based on Plasma Jet Machining
Paper Nr. EOSMTOC III_3 , EOSMTOC 2015, München, 22.-27.06. (2015)
H. Paetzelt, G. Boehm, Th. Arnold,
Surface error correction with fine focused plasma jets
Paper Nr. EOSMTOC Poster_16, EOSMTOC 2015, München, 22.-25.06. (2015)
U. Allenstein, S. Selle, M. Tadsen, C. Patzig, T. Höche, M. Zink, S. G. Mayr,
Coupling of Metals and Biominerals: Characterizing the Interface between Ferromagnetic Shape-Memory Alloys and Hydroxyapatite
Applied Materials and Interfaces 7 (2015) 15331 15338
F. Bauer, U. Decker, S. Naumov, C. Riedel,
Photoinitiator-free curing of acrylate-based nanocomposites by monochromatic ultra violet irradiation, in Radiation curing of composites for enhancing their features and utility in health care and industry
International Atomic Energy Agency, Vienna, ISBN 978 92 0 103815 9 (2015) 82-96
K. Pardun, L. Treccani, E. Volkmann, P. Streckbein, C. Heiss, J.W. Gerlach, S. Mändl, K. Rezwan,
Magnesium containing mixed coatings on zirconia for dental implants: Mechanical characterization and in vitro behavior
J. Biomater. Appl. 30 (2015) 104-118
D. Pagano, F. Scortecci, C. Bundesmann, C. Eichhorn, F. Scholze, H. Neumann, H. Leiter, H. Kersten, S. Gauter, R. Wiese, R. Blott, P.J. Klar, K. Holste, B. Meyer, S. Mazouffre, A. Bulit, J. Gonzales del Amo,
Qualification of the AEPD System as a Standard On-Ground Tool for Electric Propulsion Thruster
Paper Nr. IEPC-2015-363, 34th International Electric Propulsion Conference, Hyogo-Kobe, Japan, 4.-10.7. (2015)
C. Bundesmann, C. Eichhorn, F. Scholze, H. Neumann, H.J. Leiter, D. Pagano, F. Scortecci,
Electric Propulsion Thruster Diagnostic Activities at IOM
Paper Nr. IEPC-2015-392, 34th International Electric Propulsion Conference, Hyogo-Kobe, Japan, 4.-10.7. (2015)
T. Csizmadia, T. Smausz, C. Tapai, J. Kopniczky, X. Wang, M. Ehrhardt, P. Lorenz, K. Zimmer, L. Orosz, E. Varga, A. Oszko, B. Hopp,
Comparison of the Production of Nanostructures on Bulk Metal Samples by Picosecond Laser Ablation at Two Wavelengths for the Fabrication of Low-reflective Surfaces', 10(2015)110.
J. Laser Micro/Nanoeng. 10 (2015) 110-118
F. Niefind, J. Djamil, W. Bensch, B.R. Srinivasan, I. Sinev, W. Grunert, M. Deng, L. Kienle, A. Lotnyk, M.B. Mesch, J. Senker, L. Durag, T. Beweries,
Room temperature synthesis of an amorphous MoS2 based composite stabilized by N-donor ligands and its light-driven photocatalytic hydrogen production
RSC Adv. 5 (2015) 67742-67751
V. Baranwal, J.W. Gerlach, A. Lotnyk, B. Rauschenbach, H. Karl, S. Ojha, D.K.Avasthi, D. Kanjilal, A.C. Pandey,
Embedded Ge nanocrystals in SiO2 synthesized by ion implantation
J. Appl. Phys. 118 (2015) 134303
A. Lotnyk, D. Poppitz, U. Ross, J.W. Gerlach, F. Frost, S. Bernütz, E. Thelander, B. Rauschenbach,
Focused high- and low-energy ion milling for TEM specimen preparation
Microelectron. Reliab. 55 (2015) 2119-2125
K. R. Siefermann,
Dynamics at the Liquid-Vacuum Interface Probed by Picosecond Time-Resolved Photoelectron Spectroscopy
Bunsenmagazin 3/2015 (2015) 111-115
E. Reisz, S. Naumov, W. Schmidt, C. von Sonntag,
Reaction of Ozone with Ag(I) Mechanistic Considerations
Ozone: Science & Engineering 37 (2015) 393-404
D. Breite, M. Went, A. Prager, A. Schulze,
Tailoring Membrane Surface Charges: A Novel Study on Electrostatic Interactions during Membrane Fouling
Polymers 7 (2015) 2017-2030
A. Schulze, M. Went, A. Prager,
Membrane Functionalization with Hyperbranched Polymers
Paper Nr. G-082, Euromembrane 2015, Aachen, Germany, 6.-10.09. (2015)
A. Schulze, A. Stoelzer, K. Striegler, S. Starke, A. Prager,
Biocatalytic Self-Cleaning Polymer Membranes
Polymers 7 (2015) 1837-1849
D. Breite, M. Went, N. Schönherr, A. Prager, A. Schulze,
Tailoring the Surface Charge for Fouling Resistant Membranes
Paper Nr. E-104, Euromembrane 2015, Aachen, 6.-10.09. (2015)
K. Fischer, R. Gläser, A. Schulze,
Nanoporous Photocatalytic TiO2 on Polymer Membranes for Water Purification
Paper Nr. 9.10., Euromembrane 2015, Aachen, 6.-10.09. (2015) 115
S. Reichelt ,
Introduction to macroporous cryogels
Springer Sci+Business Media, Affinity Chromatography 1286 (2015) 173-181
A. Gladytz, E. Lugovoy, A. Charvat, T. Häupl, K.R. Siefermann, B. Abel,
Intermediates Caught in the Act: Tracing Insulin Amyloid Fibril Formation in Time by Combined Optical Spectroscopy, Light Scattering, Mass Spectrometry and Microscopy
Phys. Chem. Chem. Phys. 17 (2015) 918-927
C. Steinberg, M. Gubert, M. Papenheim, S. Wang, H.-C. Scheer, J. Zajadacz, K. Zimmer,
Challenges with soft stamps for guiding of diblock copolymers
Appl. Phys. A 121 (2015) 489-497
A. Lehmann, S. Rupf, A. Schubert, I.-M. Zylla, H. J. Seifert, A. Schindler, Th. Arnold,
Plasma deposited silicon oxide films for controlled permeation of copper as antimicrobial agent
Clinical Plasma Medicine 3 (2015) 3-9
M.R. Kaluerovi, S. Mändl, H. Kohlweyer, H.-L. Graf,
Physical vapour deposition of zirconia on titanium: fabrication, characterization and interaction with human osteoblast cells
J. Mater. Sci. Mater. Med. 26 (2015) 267-274
A. Kahnt, R. Flyunt, C. Laube, W. Knolle, S. Eigler, R. Hermann, S. Naumov, B. Abel,
How fast is the reaction of hydrated electrons with graphene oxide in aqueous dispersions?
Nanoscale 7 (2015) 19432-19437
Y. M. Riyad, C. Laube, S. Naumov, R. Hermann, B. Abel ,
Complexation and Chiral Recognition of Chiral Binaphthyl Derivatives and beta-Cyclodextrins in Solution Probed by Triplet Excited State Relaxation
Z PHYS CHEM 229 (2015) 1831-1853
T. Arion, U. Hergenhahn ,
Past, present and perspectives
J Electron. Spectrosc. 200 (2015) 222-231
M. Forstel, M. Neustetter, S. Denifl, F. Lelievre, U. Hergenhahn,
A source for microhydrated biomolecules
Rev. Sci. Instrum. 86 (2015) -
A. Gladytz, M. Wagner, T. Haupl, C. Elsner, B. Abel,
Strukture-Making Effects of Metal Nanoparticles in Amyloid Paptide Fibrillation
Part. Part. Syst. Char. 32 (2015) 573-582
A. Hans, A. Knie, P. Schmidt, L. Ben Ltaief, C. Ozga, P. Reiss, H. Huckfeldt, M. Forstel, U. Hergenhahn, A. Ehresmannn,
Lymann-series emission after valence and core excitation of water vapor
Phys. Rev. A 92 (2015) -
D. Milovanovic, A. Honigmann, S. Koike, F. Gottfert, G. Pahler, M. Junius, S. Mullar, U. Diederichsen, A. Janshoff, H. Grubenmuller, H. J. Risselada, C. Eggeling, S. W. Hell, et al.,
Hydrophobic mismatch sorts SNARE proteins into distinct membrane domains
Nat. Commun. 6 (2015) 5984
M. Mucke, T. Arion, M. Forstel, T. Lischke, U. Hergenhahn,
Competition of inelastic electron scattering and Interatomic Coulombic Decay in Ne clusters
J. Electron Spectrosc. 200 (2015) 232-238
H. Osadnik, M. Schopfel, E. Heidrich, D. Mehner, H. Lolie, C. Parthier, H. J. Risselada, H. Grubmuller, M. T. Stubbs, T. Bruser,
PspF-binding domain PspA(1-144) and the PspA center dot F complex: New insights into the coiled-coil-dependent regulation of AAA plus proteins
Mol. Microbiol. 98 (2015) 743-759
H. J. Risselada ,
Simulations Move Toward a Cure for Viral Diseases
Structure 23 (2015) 439-440
R. M. P. Tanyag, C. Bernando, C. F. Jones, C. Bacellar, K. R. Ferguson, D. Anielski, R. Boll, S. Carron, J. P. Cryan, L. Englert, S. W. Epp, B. Erk, L. Foucar, L. F. Gomez, R. Hartmann, D. M. Neumark, D. Rolles, B. Rudek, A. Rudenko, K. R. Siefermann,
Communication: X-ray coherent diffractive imaging by immersion in nanodroplets
Struct. Dynam. 2 (2015) 051102
J. Taubert, B. Hou, H. J. Risselada, D. Mehner, H. Lunsdorf, H. Grubmuller, T. Bruser,
TatBC-Independent TatA/Tat Substrate Interactions Contribute to Transport Efficiency
Plos One 10 (2015) 0119761
F. Wiederschein, E. Vohringer-Martinez, A. Beinsen, F. Postberg, J. Schmidt, R. Srama, F. Stolz, H. Grubmuller, B. Abel,
Charge separation and isolation in strong water droplet impacts
Phys. Chem. Chem. Phys. 17 (2015) 6858-6864
P. C. With, N. Wilde, A. Modrow, S. Fichtner, B. Bohringer, R. Glaser,
Polymer-Based Spherical Activated Carbons in Combination with TS-1 as Efficient Catalyst for Epoxidation
Chem. Ing. Tech. 87 (2015) 1424-1430
P. C. With, N. Wilde, A. Modrow, S. Fichtner, B. Bohringer R. Glaser,
Polymer-Based Spherical Activated Carbons in Combination with TS-1 as Efficient Epoxidation Catalysts
Chem. Eng. Technol. 38 (2015) 1671-1676
C. Bundesmann, R. Feder, T. Lautenschläger, H. Neumann,
Energy Distribution of Secondary Particles in Ion Beam Deposition Process of Ag: Experiment, Calculation and Simulation
Contrib. Plasma Phys. 55 (2015) 737-746
C. Bundesmann, R. Feder, T. Lautenschläger, H. Neumann,
Energy Distribution of Secondary Particles in Ion Beam Deposition Process of Ag: Experiment, Calculation and Simulation
Contrib. Plasma Phys. 55 (2015) 737-746
U. Roß, A. Lotnyk, E. Thelander, B. Rauschenbach,
Cs-corrected STEM characterisation of textured and epitaxial Ge-Sb-Te thin films
Paper Nr. MS3.P066, Microscopy Conference 2015, Göttingen/Germany, 6-11.09. (2015) 127-128
O. Faehnle, F. Zygalsky, E. Langenbach, F. Frost, R. Fechner, A. Schindler, M. Cumme, H. Biskup, C. Wünsche, R. Rascher,
Fabrication and qualification of roughness reference samples for industrial testing of surface roughness levels below 0.5 nm Sq
SPIE Conference on Optical manufacturing and testing XI, San Diego, 09.-11.08. (2015)
L. Kienle, T. Dankwort, J. Strobel, W. Ge, R.L. de Miranda, E. Quandt, M. Wuttig, A.L. Hansen, J. D. König, M. Winkler, A. Lotnyk, U. Ross, W. Bensch, D.C. Johnson,
TEM on energy materials
International Workshop on Advanced and In-situ Microscopies of Functional Nanomaterials and Devices, Hamburg/Germany, 8.-10.07. (2015) 60-61
A. Lotnyk, S. Bernütz, X. Sun, M. Ehrhardt, B. Rauschenbach,
Atomic-resolution Cs-corrected STEM investigation of metastable Ge2Sb2Te5 phase change thin films
Paper Nr. MS3.P057, Microscopy Conference 2015, Göttingen/Germany, 6.-11.09. (2015) 111-112
D. Poppitz, A. Lotnyk, J. Gerlach, B. Rauschenbach ,
Aberration-corrected STEM study of polar and non-polar epitaxial GaN thin films produced by ion-beam nitridation of Ga droplets on different substrate materials
Paper Nr. MS2.P040, Microscopy Conference 2015, Göttingen/Germany, 6-11.09. (2015) 83-84
U. Roß, A. Lotnyk, E. Thelander, B. Rauschenbach ,
High-resolution STEM investigation of textured and epitaxial Ge-Sb-Te thin films
E\PCOS 2015, Amsterdam/Netherlands, 6.-8.09. (2015) 161-162
A. Lotnyk, S. Bernütz, U. Ross, X. Sun, E. Thelander, M. Ehrhardt, B. Rauschenbach,
Atomic structure of Ge-Sb-Te thin films studied by high-resolution Cs-corrected STEM
International Workshop on Advanced and In-situ Microscopies of Functional Nanomaterials and Devices, Hamburg/Germany, 8.-10.07. (2015) 44-45
C. Elsner, D. Hintzen, A. Prager, K. Siefermann, B. Abel,
Supramolecular Assembly of Functional Hybrid Fibrils with Peptide-pi- System-Peptide Monomers Near Silver-Nanoparticles
Z. Phys. Chem. 229 (2015) 427-442
H. Saitoh, J. Stanja, E. Stenson, U. Hergenhahn, H. Niemann, T. Sunn Pedersen, M. R. Stoneking, C. Piochacz and C. Hugenschmidt ,
Efficent injection of an intense positron beam into a dipole magnetic field
New J. Phys. 17 (2015) 1030-38
K. Bente, A. König, F. Dehn, P. Krüger, R. Wirth, D. Hirsch, T. Münster, C. Berthold,
Vergleichende computertomographische und elektronenmikroskopische Studien zu eisenzeitlicher Korallenzier
Metalla (Deutsches Bergbau Museum Bochum) Sonderheft 7 (2015) 59-61
Y. X. Pan, M. Ehrhardt, P. Lorenz, B. Han, B. Hopp, C. Vass, X. W. Ni, K. Zimmer,
Multi-pulse LIBDE of fused silica at different thicknesses of the organic absorber layer
Appl. Surf. Sci. 359 (2015) 449-454
P. Lorenz, J. Zajadacz, L. Bayer, M. Ehrhardt, K. Zimmer,
Nanodrilling of fused silica using nanosecond laser radiation
Appl. Surf. Sci. 351 (2015) 935-945
Th. Arnold, Th. Franz, F. Frost, A. Schindler,
Ultra-precision Surfaces and Structures with Nanometer Accuracy by Ion Beam and Plasma Jet Technologies, in: Encyclopedia of Nanotechnology, Bharat Bhusan (Editor), Springer Netherlands, Dordrecht
Encyclopedia of Nanotechnology (2015) 1-23
2014
S. Reichelt, J. Becher, J. Weisser, A. Prager, U. Decker, S. Möller, A. Berg, M. Schnabelrauch,
Biocompatible polysaccharide-based cryogels
Mat. Sci. Eng. C 35 (2014) 164-170
S. Reichelt, A. Prager, C. Abe, W. Knolle,
Tailoring the structural properties of macroporous electron-beam polymerized cryogels by pore forming agents and the monomer selection
Radiat. Phys. Chem. 94 (2014) 40-44
G. Mirschel, O. Daikos, K. Heymann, T. Scherzer, B. Genest, C. Sommerer, C. Steckert,
In-line monitoring of the conversion in UV-cured printed layers by NIR spectroscopy in an offset printing press
Progr. Org. Coat. 77 (2014) 719-724
S. Mändl, J. Lutz, C. Díaz, J.W. Gerlach, J.A. García,
Influence of Reduced Current Density on Diffusion and Phase Formation during PIII Nitriding of Austenitic Stainless Steel and CoCr Alloys
Surf. Coat. Tech. 239 (2014) 116-122
T. Karsch, H. Bomas, H.-W. Zoch, S. Mändl,
Influence of Hydrogen Content and Microstructure on the Fatigue Behaviour of Steel SAE 52100 in the VHCF Regime
Int. J. Fatigue 60 (2014) 74-89
T. Welzel, S. Mändl, K. Ellmer,
Cluster Ion Formation during Sputtering Processes: A Complementary Investigation by TOF-SIMS and Plasma Ion Mass Spectrometry
J. Phys. D Appl. Phys. 47 (2014) 062504
D. Poppitz, A. Lotnyk, J.W. Gerlach, B. Rauschenbach,
Microstructure of porous gallium nitride nanowall networks
ACTA MATER 65 (2014) 98-105
Th. Arnold, G. Boehm, H. Paetzelt,
Ultra-Precision Surface Machining with Reactive Plasma Jets
CONTRIB PLASM PHYS 54 (2014) 145-154
A. Lotnyk, D. Poppitz, J.W. Gerlach, B. Rauschenbach,
Direct imaging of light elements by annular dark-field aberration-corrected scanning transmission electron microscopy
Appl. Phys. Lett. 104 (2014) 071908
M. Ehrhardt, P. Lorenz, K. Zimmer,
Laser Microembossing of Thin Copper and Silver Foils with an Ultraviolet (UV) Excimer Laser
Lasers in Engineering 27 (2014) 1-17
M. Engler, F. Frost, S. Müller, S. Macko, M. Will, R. Feder, D. Spemann, R. Hübner , S.Facsko, T. Michely,
Silicide induced ion beam patterning of Si(001)
Nanotechnology 25 (2014) 115303
C. Bundesmann, R. Feder, J.W. Gerlach, H. Neumann,
Ion beam sputter deposition of Ag films: Influence of process parameters on electrical and optical properties, and average grain sizes
Thin Solid Films 551 (2014) 46-52
P. Lorenz, M. Ehrhardt, K. Zimmer,
Structuring of glass fibre surfaces by laser-induced front side etching
Appl. Surf. Sci. 302 (2014) 52-57
F. Bauer, U. Decker, S. Naumov, C. Riedel,
Photoinitiator-free UV curing and matting of acrylate-basednanocomposite coatings: Part 3.
PROG ORG COAT 77 (2014) 1085-1094
P. Lorenz, S. Zehnder, M. Ehrhardt, F. Frost, K. Zimmer, P. Schwaller,
Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid
Proc. SPIE 8967 (2014) 89670A
S. Zehnder, P. Lorenz, M. Ehrhardt, K. Zimmer, P. Schwaller,
Laser-induced processes on the back side of dielectric surfaces using a CuSO4-based absorber liquid
Proc. SPIE 8968 (2014) 896812
X. Wang, M. Ehrhardt, P. Lorenz, C. Scheit, S. Ragnow, X.W. Ni, K. Zimmer,
The influence of the laser parameter on the electrical shunt resistance of scribed Cu(InGa)Se2 solar cells by nested circular laser scribing technique
Appl. Surf. Sci. 302 (2014) 194-197
K. Zimmer, M. Ehrhardt, P. Lorenz, X. Wang, C. Vass, T. Csizmadia, B. Hopp,
Reducing the incubation effects for rear side laser etching of fused silica
Appl. Surf. Sci. 302 (2014) 42-45
U. Ross, A. Lotnyk, E. Thelander, B. Rauschenbach,
Direct imaging of crystal structure and defects in metastable Ge2Sb2Te5 by quantitative aberration-corrected scanning transmission electron microscopy
Appl. Phys. Lett. 104 (2014) 121904
M. Hennes, A. Lotnyk, S. G. Mayr,
Plasma-assisted synthesis and high-resolution characterization of anisotropic elemental and bimetallic core shell magnetic nanoparticles
Beilstein J. Nanotechnol. 5 (2014) 466 475
K. Schröck, H. Schneider, J. Lutz, M. Hacker, S. Mändl, M. Kamprad, M. Schulz-Siegmund,
Cytocompatibility of nitrogen plasma ion immersed medical cobalt-chromium alloys
J. Biomed. Mater. Res. A102 (2014) 1744-1754
P. Lorenz, M. Ehrhardt, K. Zimmer,
Towards laser-induced front side etching of dielectric material
Paper Nr. SLPC2-2, Proceedings of SLPC2014 - the 1st Smart Laser Processing Conference, Yokohama, 22.-24.04. (2014)
T. Bahners, L. Prager, J. S. Gutmann,
Wetting dynamics on super-hydrophilic surfaces prepared by photonic micro-folding
Langmuir 30 (2014) 3127-3131
S. Naumov, W. Knolle, J. Becher, M. Schnabelrauch, S. Reichelt,
Electron-beam generated porous dextran gels: Experimental and quantum chemical studies
Int. J. Rad. Biol. 90 (2014) 503-511
C. Steinberg, K. Dhima, D. Blenskens, A. Mayer, S. Wang, M. Papenheim, H.-C. Scheer, J. Zajadacz, K. Zimmer,
A scalable anti-sticking layer process via controlled evaporation
Microelectron. Eng. 123 (2014) 4-8
E. Thelander, J.W. Gerlach, U. Ross, F. Frost, B. Rauschenbach,
Epitaxial growth of Ge-Sb-Te films on KCl by high deposition rate pulsed laser deposition
J. Appl. Phys. 115 (2014) 213504
M. Engler, S. Macko, F. Frost, T. Michely,
Evolution of ion beam induced patterns on Si(001)
Phys. Rev. B 89 (2014) 245412, 1-14
A. Lotnyk,
Focused Low Energy-Argon Ion Milling: A Must-Have Tool for Cs-Corrected TEM (invited paper)
Imaging & Microscopy 2 (2014) 32-33
R. Feder, C. Bundesmann, H. Neumann, B. Rauschenbach,
Ion beam sputtering of germanium - Energy and angular distribution of sputtered and scattered particles
Nucl. Instrum. Meth. B 334 (2014) 88-95
K. Fischer, R. Gläser, A. Schulze,
Formation and Growth of TiO2 Nanotubes on Top of a PES for Water Purification
ICOM 2014 - the 10th International Congress on Membranes and Membrane Processes, Suzhou/China, 20.07.-25.07. (2014)
K. Fischer, R. Gläser, A. Schulze,
Nanoneedle and Nanotubular Titanium Dioxide PES Mixed Matrix Membrane for Photocatalysis
Applied Catalysis B 160-161 (2014) 456-464
R. Flyunt, W. Knolle, A. Kahnt, A. Prager, A. Lotnyk, J. Malig, D. Guldi, B. Abel,
Mechanistic Aspects of the Radiation-Chemical Reduction of Graphene Oxide to Graphene-Like Materials
Int. J. Radiat. Biol. 90 (2014) 486-494
E. I. Wisotzki, M. Hennes, C. Schuldt, F. Engert, W. Knolle, U. Decker, J. A. Käs, M. Zink, S. G. Mayr,
Tailoring the material properties of gelatin hydrogels by high energy electron irradiation
J MATER CHEM B 2 (2014) 4297-4309
A. Schulze, A. Stoelzer, K. Striegler, S. Starke,
Bio-Inspired Self-Cleaning Polymer Membranes
Paper Nr. OR-1-00602, ICOM 2014 - the 10th International Congress on Membranes and Membrane Processes, Suzhou/China, 20.07.-25.07. (2014)
A. Schulze, B. Marquardt, M. Went, I. Thomas,
Electron-Beam-Induced Grafting of Hydrophilic Polymers to Hydrophobic Membrane Surfaces
Paper Nr. PO-1-00603, ICOM 2014 - the 10th International Congress on Membranes and Membrane Processes, Suzhou/China, 20.07.-25.07. (2014)
D. Breite, A. Schulze,
Temperature-Responsive Membranes
Paper Nr. PO-6-00596, ICOM 2014 - the 10th International Congress on Membranes and Membrane Processes, Suzhou/China, 20.07.-25.07. (2014)
K. Fischer, R. Gläser, A. Schulze,
Photocatalytic Mixed Matrix Membrane for Degradation of Pollutants in Water
Paper Nr. OR-5-00594, ICOM 2014 - the 10th International Congress on Membranes and Membrane Processes, Suzhou/China, 20.07.-25.07. (2014)
E. Jahangiri, S. Reichelt, I. Thomas, K. Hausmann, D. Schlosser, A. Schulze,
Electron Beam-Induced Immobilization of Laccase on Porous Supports for Waste Water Treatment Applications
Molecules 19 (2014) 11860-11882
G. Mirschel, O. Daikos, K. Heymann, U. Decker, T. Scherzer, C. Sommerer, B. Genest, C. Steckert,
In-line monitoring of printing processes in an offset printing press by NIR spectroscopy: Correlation between the conversion and the content of extractable acrylate in UV-cured printing inks
Progr. Org. Coat. 77 (2014) 1682-1687
S. Reichelt, S. Naumov, W. Knolle, A. Prager, U. Decker, J. Becher, J. Weisser, M. Schnabelrauch,
Studies on the formation and characterization of macroporous electron-beam generated hyaluronan cryogels
Radiat. Phys. Chem. 105 (2014) 69-77
B.B. Fernandes, S. Mändl, R.M. Oliveira, M. Ueda,
Mechanical properties of nitrogen-rich surface layers on SS304 treated by plasma immersion ion implantation
Appl. Surf. Sci. 310 (2014) 278-283
A.M. Jakob, J. Buchwald, B. Rauschenbach, S.G. Mayr,
Nanoscale-resolved elasticity: contact mechanics for quantitative contact resonance atomic force microscopy
Nanoscale 6 (2014) 6898-6910
R. Schmidt-Grund, S. Richter, S.G. Ebbinghaus, M. Lorenz, C. Bundesmann, M. Grundmann,
Electronic transitions and dielectric function tensor of a YMnO3 single crystal in the NIR-VUV spectral range
RSC Adv. 4 (2014) 33549-33554
M. Zink, S. G. Mayr,
Ferromagnetic shape memory alloys: synthesis, characterisation and biocompatibility of Fe Pd for mechanical coupling to cells
Materials Science and Technology online (2014) dx.doi.org/10.1179
D. Manova, S. Mändl, J.W. Gerlach, D. Hirsch, H. Neumann, B. Rauschenbach,
In situ X-Ray Diffraction Investigations during Low Energy Ion Nitriding of Austenitic Stainless Steel Grade 1.4571
J. Phys. D Appl. Phys. 47 (2014) 365301
M. Teichmann, J. Lorbeer, F. Frost, B. Rauschenbach ,
Ripple coarsening on ion beam-eroded surfaces
Nanoscale Res. Lett. 9 (2014) 439
F. Frost,
Comment on 'Transition from ripples to faceted structures under low-energy argon ion bombardment of silicon: understanding the role of shadowing and sputtering' by T. Basu et al. (Nanoscale Research Letters 2013, 8:289)
Nanoscale Res. Lett. 8 (2014) 289
D. Manova, S. Mändl, H. Neumann, B. Rauschenbach,
Analysis of In Situ XRD Measurements for Low Energy Ion Beam Nitriding of Austenitic Stainless Steels
Surf. Coat. Tech. 256 (2014) 64-72
K. Zimmer, X. Wang, P. Lorenz, L. Bayer, M. Ehrhardt, C. Scheit, A. Braun,
In-process Evaluation of Electrical Properties of CIGS Solar Cells Scribed with Laser Pulses of Different Pulse Lengths
Physics Procedia 56 (2014) 1024-1033
A. Arabi-Hashemi, M. Erhardt, P. Lorenz, D. Hirsch, K. Zimmer, S. G. Mayr,
Epitaxy from the liquid phase: tuning metastable phases in Fe-Pd thin films by laser-assisted rapid solidification on substrates
J. Phys. D Appl. Phys. accepted (2014) n/a
H. Bhuyan, S. Mändl, B. Bora, M. Favre, E. Wyndham, J.R. Maze, M. Walczak, D. Manova,
Surface Modification by nitrogen Plasma Immersion ion implantation into new Steel 460Li-21Cr in a capacitively coupled radio frequency discharge
Appl. Surf. Sci. 316 (2014) 72-77
M. Burkhardt, R. Fechner, D. Flamm, F. Frost, A. Gatto, C. Laubis, F. Scholze, S. Sinzinger, V. Soltwisch,
Streulichtarme holografische Blaze-Gitter für den EUV-Bereich
Paper Nr. P19, DGaO-Proceedings 2014, Karlsruhe, 10.-14.06. (2014)
C. Bundesmann, R. Feder, H. Neumann,
Optimierung der Eigenschaften dünner Schichten durch Abscheidung mit Ionenstrahlzerstäuben
Paper Nr. BA10, 4. Optik-Kolloquium 'Dünne Schichten in der Optik' (ThGOT), Leipzig, 04.09. (2014) 2014
C. Díaz, J.W. Gerlach, S. Mändl, J.A. García,
Reduction of Corrosion Current of CoCr Alloys by Post-PIII Oxidation
Surf. Coat. Tech. 256 (2014) 59-63
O. Öztürk, M. Fidan, S. Mändl,
MFM imaging of expanded austenite formed in stainless steel and CoCr alloys
Surf. Coat. Tech. 256 (2014) 15-22
M. Ehrhardt, P. Lorenz, A. Lotnyk, H. Romanus, E. Thelander, K. Zimmer,
Pattern Transfer of Sub-micrometre-scaled Structures into Solid Copper by Laser Embossing
Physics Procedia 56 (2014) 944-950
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser Structuring of Thin Layers for Flexible Electronics by a Shock Wave-induced Delamination Process
Physics Procedia 56 (2014) 1015-1023
A. Shalabney, I. Khalaila, Ch. Grüner, B. Rauschenbach, I. Abdulhalim,
SERS biosensor using metallic nano-sculptured thin films for the detection of endocrine disrupting compound biomarker vitellogenin
Small 10 (2014) 3579-3514
A. Finzel, J.W. Gerlach, J. Lorbeer, F. Frost, B. Rauschenbach,
High-fluence hyperthermal ion irradiation of gallium nitride surfaces at elevated temperatures
Appl. Surf. Sci. 317 (2014) 811-817
X. Sun, E. Thelander, P. Lorenz, J.W. Gerlach, U. Decker, B. Rauschenbach,
Nanosecond laser-induced phase transitions in pulsed laser deposition-deposited GeTe films
J. Appl. Phys. 116 (2014) 133501
C. Elsner, D. Heinz, A. Prager, W. Knolle, K. Zimmer,
Phase separation micromoulding and photopatterning based on radiation induced free radical polymerisation of acrylates for the microfabrication of porous monolithic structures
J. Micromech. Microeng. 24 (2014) 095002
L. Prager, U. Helmstedt, H. Herrnberger, O. Kahle, F. Kita, M. Münch, A. Pender, A. Prager, J.W. Gerlach, M. Stasiak,
Photochemical Approach to High-Barrier Films for the Encapsulation of Flexible Laminary Electronic Devices
Thin Solid Films 570, Part A (2014) 87-95
J. Lach, A. Jeremies, D. Breite, B. Abel, B. Mahns, M. Knupfer, V. Matulis, O. A. Ivashkevich, B. Kersting,
Encapsulation of the 4-Mercaptobenzoate Ligand by Macrocyclic Metal Complexes: Conversion of a Metallocavitand to a Metalloligand
INORG CHEM 53 (2014) 10825-10834
G. Mirschel, U. Helmstedt, T. Scherzer, U. Decker, L. Prager,
Monitoring of the Degree of Condensation in Alkoxysiloxane Layers by NIR Reflection Spectroscopy
Ind. Eng. Chem. Res. 53 (2014) 16813- 16819
A. Shavorskiy, S. Neppl, D. Slaughter, J. Cryan, K. Siefermann, etc.,
Sub-Nanosecond Time-Resolved High-Pressure X-ray Photoelectron Spectroscopy Setup for Pulsed and Constant Wave X-ray Light Sources
Rev. Sci. Instrum. 85 (2014) 093102
K. Siefermann, C. Pemmaraju, S. Neppl, A. Shavorskiy, A. Cordones, etc.,
Atomic Scale Perspective of Ultrafast Charge Transfer at a Dye-Semiconductor Interface
J. Phys. Chem. Lett. 5 (2014) 2753-2759
L. Gomez, K. Ferguson, J. Cryan, C. Bacellar, R. Tanyag, C. Jones, etc.,
Shapes and Vorticities of Superfluid Helium Nanodroplet
Science 345 (2014) 906-909
A. Kahnt, K. Peuntinger, C. Dammann, T. Drewello, R. Hermann, S. Naumov, B. Abel, D. Guldi,
Kinetic studies of the primary reduction of [Co(dmgH)2(py)(Cl)] revisited: Mechanisms, Products, and Implications
J. Phys. Chem. 118 (2014) 4382 4391
E. Vöhringer-Martinez, O. Link, K. Siefermann, F. Wiederschein, H. Grubmüller, B. Abel,
Hydrogen Bond Dynamics of Superheated Water and Methanol by Ultrafast IR-Pump and EUV-Photoelectron Probe Spectroscopy
Phys. Chem. Chem. Phys. 16 (2014) 19365-19375
S. Reichelt, S. Richter, W. Knolle, J. Becher, J. Weisser, M. Schnabelrauch,
Novel electron-beam derived macroporous 3D cell culture scaffolds
CellMat 2014, Dresden, 22.-24.10. (2014)
H. J. Risselada, G. Bubnis, H. Grubmüller,
Expansion of the fusion stalk and ist implication for biological mambrane fusion
Proc Natl Acad Sci USA (2014) 11043-11048
Y. M. Riyad, S. Naumov, S. Schastak, J. Griebel, A. Kahnt, T. Häupl, T. Claudepierre, D. Guldi, B. Abel, R. Hermann,
Consequences of Excited State Dynamics and Energy Level Tuning of a Bacteriochlorin Type Photosensitizer
J. Phys. Chem. 118 (2014) 11646 - 11658
E. Vöhringer-Martinez, C. Dörner, B. Abel,
On the electron affinity of cytosine in bulk water and at hydrophobic aqueous interfaces
J. Mol. Model. 20 (2014) 2453-2458
B. Abel,
Nanoparticles and amyloid systems: A fatal encounter?
AIP Conference 1618 (2014) 101-105
Y. Raz, J. Adler, A. Vogel, H.A. Scheidt, T. Häupl, B Abel, D. Huster, Y. Miller ,
The influence of the K280 mutation and N- or C-terminal extensions on the structure, dynamics and fibril morphology of the R2 repeat
Phys. Chem. Chem. Phys. 16 (2014) 7710-7717
M. P. Ziemkiewicz, C. Bacellar, K. R. Siefermann, S. R. Leone, D. M. Neumark, O. Gessner,
Femtosecond time-resolved XUV + UV photoelectron imaging of pure helium nanodroplets
J. Chem. Phys. 141 (2014) 174306
U. Allenstein, F. Szillat, A. Weidt, M. Zink and S. G. Mayr,
Interfacing hard and living matter: plasma- assembled proteins on inorganic functional materials for enhanced coupling to cells and tissue
Journal of Materials Chemistry B 2 (2014) 7739
A. Lotnyk, D. Poppitz, J.W. Gerlach, B. Rauschenbach,
Imaging of light elements by annular dark-field Cs-corrected STEM
Paper Nr. ISBN 978-80-260-6721-4, IMC 18 Proceedings edited by Pavel Hozak, Prague, Czech Republic (2014) IT-2-O-1555
U. Ross, A. Lotnyk, E. Thelander, B. Rauschenbach,
Quantitative structural and chemical investigation of amorphous and metastable crystalline phase-change alloy thin films by Cs-corrected STEM
Paper Nr. ISBN 978-80-260-6721-4, IMC 18 Proceedings edited by Pavel Hozak, Prague, Czech Republic (2014) MS-3-O-1956
S. Reichelt, S. Richter, A. Prager, W. Knolle, J. Becher, J. Weisser, M. Schnabelrauch,
Makroporöse Elektronenstrahl-generierte 3D Gerüststrukturen
Jahrestagung der Deutschen Gesellschaft für Biomaterialien, Dresden, 06.-08.11. (2014) S11
E. Reisz, A. Fischbacher, S. Naumov, C. von Sonntag, T. C. Schmidt,
Hydride Transfer: A Dominating Reaction of Ozone with Tertiary Butanol and Formate Ion in Aqueous Solution
Ozone: Science & Engineerin 36 (2014) 532 -539
S. Naumov, W. Knolle, S. P. Naumov, A. Pöppl, I. Janovský,
The Dynamical Behavior of the s-Trioxane Radical Cation A Low-Temperature EPR and Theoretical Study
Molecules 19 (2014) 17305-17313
Th. Arnold, F. Pietag,
eterministic ion beam figuring of Si spheres
Paper Nr. O6.1, EUSPEN 14th International Conference, Dubrovnik, 2.-6.6. (2014) 23V2
H. Paetzelt, G. Böhm, Th. Arnold,
Ultra precision waviness and figure error correction of silicon crystals by local plasma jet machining
Paper Nr. P6.25, EUSPEN 14th International Conference, Dubrovnik, 2.-6.6. (2014) 140V2
Th. Arnold, G. Böhm, H. Paetzelt,
Comparison of ultra-precision tactile and optical profilometry free form measurement systems
Paper Nr. P4.35, EUSPEN 14th International Conference, Dubrovnik, 2.-6.6. (2014) 297V1
S. Mändl, R. Dunkel, D, Hirsch, D. Manova,
Intermediate stages of CrN precipitation during PIII nitriding of stainless steel
Surf. Coat. Tech. 258 (2014) 722-726
J. Buchwald, M. Sarmanova, B. Rauschenbach, S. G. Mayr,
Nanometer-resolved mechanical properties around GaN crystal surface steps
Beilstein J. Nanotechnol. 5 (2014) 2164-2170
I. Asenova, D. Manova, S. Mändl,
Incorporation of Nitrogen into TiO2 Thin Films During PVD Processes
INST PHYS CONF SER 559 (2014) 012008
D. Manova, D. Hirsch, J.W. Gerlach, S. Mändl, H. Neumann, B. Rauschenbach,
In-situ Investigation of Phase Formation During Low Energy Ion Nitriding of Ni80Cr20 Alloy
Surf. Coat. Tech. 259 (2014) 434-441
E. Thelander, J.W. Gerlach, U. Roß. F. Frost, B. Rauschenbach ,
Epitaxial growth of Ge-Sb-Te films on KCl by high deposition rate pulsed laser deposition
Journal of Applied Physics 115 (2014) 213504
U. Roß. A.Lotnyk, E. Thelander, B. Rauschenbach,
Direct imaging of crystal structure and defects in metastable Ge2Sb2Te5 by quantitative aberration corrected STEM
Appl. Phys. Lett. 104 (2014) 121904
E. Thelander, J.W. Gerlach, U. Ross, A. Lotnyk, B. Rauschenbach,
Low-temperature epitaxy of Ge-Sb-Te films on BaF2 (111) by pulsed laser deposition
Appl. Phys. Lett. 105 (2014) 221904
E. Thelander, J. W. Gerlach, U. Ross, A. Lotnyk, B. Rauschenbach,
Low temperature epitaxy of Ge-Sb-Te films on BaF2(111) by pulsed laser deposition
Appl. Phys. Lett. 105 (2014) 221908
D. Breite, A. Schulze,
Design of Fouling Resistant Membranes through Tailored Surface Charge
Paper Nr. P 2.10, 15th Aachener Membran Kolloquium, Aachen, 12.-13.11. (2014) 289-290
A. Schulze, B. Marquardt, M. Went, I. Thomas,
Grafting of Hydrophilic Polymers to Hydrophobic Membrane Surfaces via Electron Beam Irradiation
Paper Nr. P3.21, 15th Aachener Membrankolloquium, Aachen, Germany, 12.-13.11. (2014) 431-432
K. Fischer, R. Gläser, A. Schulze,
Combination of TiO2 Nanotubes with a PES Membrane to Remove Pharmaceuticals from Water
Paper Nr. P2.7, 15th Aachener Membran Kolloquium, Aachen, 12.-13.11. (2014) 287
L. Fricke, T. Böntgen, J. Lorbeer, C. Bundesmann, R. Schmidt-Grund, M. Grundmann,
An extended Drude model for the in-situ spectroscopic ellipsometry analysis of ZnO thin layers and surface modifications
Thin Solid Films 571 (2014) 437 441
R. Flyunt, W. Knolle, A. Kahnt, S. Eigler, A. Lotnyk, T. Häupl, A. Prager, D. Guldi, B. Abel; ,
Efficient Route to High-Quality Graphene Materials: Kinetically Controlled Electron Beam Induced Reduction of Graphene Oxide in Aqueous Dispersion
Am J Nano Res Appl, Special Issue: Advanced Functional Materials Vol. 2, No. 6-1 (2014) 9-18
C. Elsner, A. Prager, U. Decker, S. Naumov, B. Abel,
Tailored nano- and micrometer sized structures of gold-nanoparticles at polymeric surfaces via photochemical and kinetic control of the synthesis and deposition process
Am J Nano Res Appl, Special Issue: Advanced Functional Materials 2(6-1) (2014) 1-8
Y. M. Riyad, S. Naumov, J. Griebel, C. Elsner, R. Hermann, K. R. Siefermann, B Abel,
Optical switching of azophenol derivatives in solution and in polymer thin films: The role of chemical substitution and environment
Am J Nano Res Appl, Special Issue: Advanced Functional Materials 2(6-1) (2014) 39-52
A. Neff, O. Naumov, T.-J. Kühn, N. Weber, M. Merkel, B. Abel, A. Varga, K. Siefermann,
High resolution imaging of a multi-walled carbon nanotube with energyfiltered photoemission electron microscopy
Am J. of Nano Res. and App. 2 (2014) 27-33
D. Friedrich, C. Wöckel, S. Küsel, R. Konrath, H. Krautscheid, R. Denecke, B. Abel ,
Investigations on the hydrothermal synthesis of pure and Mg-doped nano-CuCrO2
Am J of Nano Res. and App. 2 (2014) 53-60
A. Sobottka, L. Drößler, C. Hossbach, B. Abel, U. Helmstedt,
A flexible research reactor for atomic layer deposition with a sample-transport chamber for in Vacuo analytics
Am J of Nano Res. and App. 2 (2014) 34-38
A. Gladytz, M. Wagner, T. Häupl, C. Elsner, B. Abel,
Structure-Making Effects of Metal Nanoparticles in Amyloid Peptide Fibrillation
Part. Part. Syst. Charact. (2014) DOI: 10.1002/ppsc. 201400
R.C. Suryaprakash, F. Lohmann, M. Wagner, B. Abel, A. Varga,
Spray drying as a novel and scalable fabrication method for nanostructured CsH2PO4, Pt-thin-film composite electrodes for solid acid fuel cells
RSC Adv. 4 (2014) 60429
T. Gladytz, B. Abel, K. Siefermann ,
Expansion dynamics of supercritical water probed by picosecond time-resolved photoelectron spectroscopy
Phys Chem Chem Phys (2014) DOI: 10.1039/C4CP05171H
P. Heissler, G. Tsakiris, E. Lugovoy, L. Waldecker, R. Hoerlein, J. Wenz, M. Heigoldt, K. Khrennikov, S. Karsch, F. Krausz, B. Abel,
Using the third state of matter: high harmonic generation from liquid targets
New J. Phys. 16 (2014) 113045
A. Gladytz, E. Lugovoy, A. Charvat, T. Häupl, K. Siefermann, B. Abel,
Intermediates caught in the act: Tracing insulin amyloid fibril formation in time by combined optical spectroscopy, light scattering, mass spectrometry and microscopy
DOI: 10.1039/C4CP03072A Phys Chem Chem Phys (2015,(17), s. 918-927) (2014)
C. Elsner, B. Abel,
Ultrafast High-Resolution Mass Spectrometric Finger Pore Imaging in Latent Finger Prints
Sci Rep 4 (2014) 6905
S. Augustin-Bauditz, H. Wex, S. Kanter, M. Ebert, D. Niedermeier, F. Stolz, A. Prager, F. Stratmann,
The immersion mode ice nucleation behavoir of mineral dusts: A comparison of different pure and surface modified dusts
GEOPHYS RES LETT 41 (2014) 7375-7382
H.J. Risselada, Y. Smirnova, H. Grubmüller,
Free energy landscape of RIM-pore expansion in membrane fusion
doi: 10.1007/s00253-014-6037-4 Biophys. J. 107 (2014) 2287-2295
A. Varga,
Progress in solid acid fuel cell electrodes
Am J of Nano Res. and App. 2 (2014) 1-5
S.C. Ligon, M. Tromayer, Z. Li, J. Torgersen, A. Ajami, A. Rosspeintner, S. Naumov, T. Scherzer, J. Stampfl, R. Liska,
New Developments in Initiators for Two-photon Polymerization
RadTech UV & EB 2014, Rosemont/Il, USA, 12.-14.5. (2014)
C. Schurig, C.W. Müller, C. Höschen, A. Prager, E. Kothe, H. Beck, A. Miltner, M. Kästner,
Methods for visualising active microbial benzene degraders in situ microcosms
doi: 10.1007/s00253-014-6037-4 Appl. Microbiol. Biotechnol. (2015) 99 (2014) 957-968
S. Mändl, J. Lutz, C. Díaz, J.W. Gerlach, J.A. García,
Influence of Reduced Current Density on Diffusion and Phase Formation during PIII Nitriding of Austenitic Stainless Steel and CoCr Alloys
Surf. Coat. Technol 256 (2014) 78-84
C. Steinberg, D. Blenskens, K. Dhima, S. Wang, M. Papenheim, H.-C. Scheer, J. Zajadacz, K. Zimmer,
Guided wrinkling with nanoimprinted SU-8 surfaces
J Vac. Sci. Techn. B32 (2014) 06FG05
Th. Arnold, H. Baumann, H. Bettin, F. Bielsa, A. Eichenberger, N. Kuramoto, G. Mana, E. Massa, S. Merlet, F. Pereira dos Santos, A. Picard, P. Pinot, F. Piquemal,
European metrology research programme: Advance on the realization of the kilogram redefinition
Conference on Precision Electromagnetic Measurements (CPEM 2014), Rio de Janeiro , 24.-29.8. (2014) 426 - 427
S. Srivastava, A. Shalabney, I. Khalaila, C. Grüner, B. Rauschenbach, and I. Abdulhalim,
Highly sensitive SERS based nano-sculptured thin film biosensor for the detection of vitellogenin: an endocrine disruption biomarker
Paper Nr. SeM3C.3, Optical Sensors 2014, Barcelona Spain, 27.-31. 7. (2014)
2013
K. Fischer, M. Müller, J. Gerlach, A. Schulze,
Photocatalytic Mixed Matrix Membrane for Water Purification
Third International Conference on Multifunctional, Hybrid and Nanomaterials, Sorrento, 3.-7.3. (2013)
G. Mirschel, O. Savchuk, T. Scherzer, B. Genest,
Process control of printing processes with in-line NIR spectroscopy and elimi nation of the influence of the substrate on the prediction of the coating weight
Progr. Org. Coat. 76 (2013) 86-93
L. Wennrich, H. Khalil, C. Bundesmann, U. Decker, J. W. Gerlach, U. Helmstedt, D. Manova, S. Naumov, L. Prager,
Photochemical preparation of aluminium oxide layers via vacuum ultraviolet irradiation of a polymeric hexanoato aluminium complex
Mater. Chem. Phys. 137 (2013) 1046-1052
A. Boulares-Pender, I. Thomas, A. Prager, A. Schulze,
Surface modification of polyamide and poly(vinylidene fluoride) membranes
J. Appl. Polym. Sci. 128 (2013) 322-331
S. Reichelt, C. Abe, S. Hainich, W. Knolle, U. Decker, A. Prager, R. Konieczny,
Electron-beam derived polymeric cryogels
Soft Matter 9 (2013) 2484-2492
R. Fernandes, M. Hüls, M.-J. Nadeau, P.M. Grootes, C.-D. Garbe-Schönberg, H.I. Hollund, A. Lotnyk, L. Kienle,
Assessing screening criteria for the radiocarbon dating of bone mineral
Nucl. Instrum. Meth. B 294 (2013) 226-232
J. Zajadacz, P. Lorenz, M. Ehrhardt, K. Zimmer, S. Möllenbeck, K. Dhima, H.C.K. Scheer,
Measurement and simulation of the pull-off strength at the separation of miniaturized 3D connectors consisting of silicon masters with undercuts and PDMS replicas
Microelectron. Eng. 101 (2013) 31-36
M. Ehrhardt, P. Lorenz, M. Teichmann, J. Lorbeer, F. Frost, K. Zimmer,
Laser Embossing of Self-Organized Nanostructures into Metal Surfaces by KrF Laser Irradiation
JLMN 8 (2013) 85-89
B. Khanbabaee, B. Arezki, A. Biermanns, M. Cornejo, D. Hirsch, D. Lützenkirchen-Hecht, F. Frost, U. Pietsch,
Depth profile investigation of the incorporated iron atoms during Kr+ ion beam sputtering on Si(001)
Thin Solid Films 527 (2013) 349
A. Schulze, A. Stoelzer, S. Starke, I. Thomas, M. Went,
Self-Cleaning Polymer Membrane Surfaces
Paper Nr. C2.5.2, Third International Conference on Multifunctional, Hydbrid and Nanomaterials, Sorrento, Italy, 3.-7.3. (2013)
S. Starke, M. Went, A. Prager, A. Schulze,
A Novel Electron Beam-Based Method for the Immobilization of Trypsin on Poly(ethersulfone) and Poly(vinylidene fluoride) Membranes
React. Funct. Polym. 73 (2013) 698-702
S. Reichelt, C. Abe, M. Kühnert, C. Elsner,
Electron-beam-derived polymeric macrporous scaffolds for biotechnological applications
Paper Nr. A3.13.3, Third International Conference on Multifunctional, Hydbrid and Nanomaterials, Sorrento, Italy, 3.-7.3. (2013)
L. Escalada, J. Lutz, S.P. Brühl, A: Márquez, S. Mändl, D. Manova, S.N. Simison,
Microstructure and Corrosion Behaviour of AISI 316L Duplex Treated by Means of Ion Nitriding and Plasma Based Ion Implantation and Deposition
Surf. Coat. Tech. 223 (2013) 41-46
V. Hrkac, L. Kienle, S. Kaps, A. Lotnyk, Y.K. Mishra, U. Schürmann, V. Duppel, B.V. Lotsch, R. Adelung,
Superposition twinning supported by texture in ZnO nanospikes
J Appl Crystallogr 46 (2013) 396-403
F. Siewert, J. Buchheim, T. Höft ,T. Zeschke, A. Schindler, Th. Arnold,
Investigations on the spatial resolution of autocollimator-based slope measuring profilers
Nucl. Instrum. Meth. A 710 (2013) 42-47
A.M. Jakob, M. Hennes, M. Müller, D. Spemann, S.G. Mayr,
Coupling of Micromagnetic and Structural Properties Across the Martensite and Curie Temperatures in Miniaturized Ni-Mn-Ga Ferromagnetic Shape Memory Alloys
Adv. Func. Mat. (2013)
H. Paetzelt, Th. Arnold, G. Böhm, F. Pietag, A. Schindler,
Surface Patterning by Local Plasma Jet Sacrificial Oxidation of Silicon
Plasma Process. Polym. 10 (2013) 416-421
M. Zink, F. Szillat, U. Allenstein, S.G. Mayr,
Interaction of Ferromagnetic Shape Memory Alloys and RGD Peptides for Mechanical Coupling to Cells: from Ab Initio Calculations to Cell Studies
Adv. Func. Mat. 23 (2013) 1383-1391
F. Guzmán, M. Favre, H.M. Ruiz, S. Hevia, L.S. Caballero, E.S. Wyndham, H. Bhuyan, M. Flores, S. Mändl,
Pulsed laser deposition of thin carbon films in a neutral gas background
J. Phys. D Appl. Phys. 46 (2013) 215202
A. Jenichen, C. Engler, B. Rauschenbach,
Comparison of wurzite and zinc-blende GaAs surfaces as possible nanowire side walls: DFT stability calculations
Surf. Sci. 613 (2013) 74-79
Q.T.Le, S. Naumov, T. Conard, A. Franquet, M. Müller, B. Beckhoff, C. Adelmann, H. Struyf, S. De Gendt, M. R. Baklanov ,
Mechanism of Modification of Fluorocarbon Polymer by Ultraviolet Irradiation in Oxygen Atmosphere
ECS J Solid State Sci Technol 2 (2013) N93-N98
Z. Li, N. Pucher, K. Cicha, J. Torgersen, S. C. Ligon, A. Ajami, W. Husinsky, A. Rosspeintner, E. Vauthey, S. Naumov, T. Scherzer, J. Stampfl, R. Liska,
A Straightforward Synthesis and Structure-Activity Relationship of Highly Efficient Initiators for Two-Photon Polymerization
Macromolecules 46 (2013) 352-361
U. Allenstein, Y. Ma, A. Arabi-Hashemi, M. Zink and S. G. Mayr,
Fe-Pd based ferromagnetic shape memory actuators for medical applications: biocompatibility, effect of surface roughness and protein coatings
Acta Biomaterialia 9 (2013) 5845
Th. Arnold, G. Böhm, H. Paetzelt,
Plasma jet polishing of rough fused silica surfaces
Conference proceedings of the 13th International Conference of the EUSPEN V2 (2013) 19-22
M. Ehrhardt, P. Lorenz, F. Frost, K. Zimmer,
Fabrication of sub-microstructures in solid copper surfaces by inverse laser microembossing
Appl. Phys. A 111 (2013) 517-523
P. Lorenz, F. Frost, M. Ehrhardt, K. Zimmer,
Laser-induced fabrication of randomly distributed nanostructures in fused silica surfaces
Appl. Phys. A 111 (2013) 1025-1030
D. Manova, C. Günther, A. Bergmann, S. Mändl, H. Neumann, B. Rauschenbach,
Influence of Temperature on Layer Growth as Measured by in-situ XRD Observation of Nitriding Austenitic Stainless Steel
Nucl. Instrum. Meth. B 307 (2013) 310-314
S. Mändl, C. Díaz, J.W. Gerlach, J.A. García,
Near Surface Analysis of Duplex PIII Treated CoCr Alloys
Nucl. Instrum. Meth. B 307 (2013) 305-309
C. Díaz, S. Mändl, J.A. García, R. Rodríguez,
Biotribology Analysis of a Surface Modified CoCr Alloy For Use In Metal-on-Metal Hip Prosthesis
VII Iberian Conference on Tribology, Porto, Portugal, 20.-21.6. (2013)
B. Rauschenbach, Ch. Grüner,
Glanzwinkeldeposition ein materialunabhängiges Verfahren zur Herstellung von Nanostrukturen
Nanotechnologie aktuell 6 (2013) 68-73
A. N. Idlibi, F. Al-Marrawi, M. Hannig, A. Lehmann, A. Rueppell, A. Schindler, H. Jentsch, S. Rupf,
Destruction of oral biofilms formed in situ on machined titanium (Ti) surfaces by cold atmospheric plasma
Biofouling 29 (2013) 369-379
A. Lehmann, A. Rueppell, A. Schindler, I.-M. Zylla, H. J. Seifert, F. Nothdurft, M. Hannig, S. Rupf,
Modification of Enamel and Dentin Surfaces by Non-Thermal Atmospheric Plasma
Plasma Process. Polym. 10 (2013) 262-270
P. Hartmann, T. Brezesinski, J. Sann, A. Lotnyk, J.-P. Eufinger, L. Kienle, J. Janek,
Defect Chemistry of Oxide Nanomaterials with High Surface Area: Ordered Mesoporous Thin Films of the Oxygen Storage Catalyst CeO2 ZrO2
ACS Nano 7 (2013) 2999-3013
F. Szillat, R. Fechner, S.G. Mayr,
Pattern transfer during deposition and fixation of oligomeric bisphenol A on pre-structured copper surfaces
Phys. Chem. Chem. Phys. 15(33) (2013) 14017-14025
A. Landgraf, A.M. Jakob, Y. Ma, S.G. Mayr,
Nanoscale magneto-structural coupling in as-deposited and freestanding single-crystalline Fe7Pd3 ferromagnetic shape memory alloy thin films
Sci. Technol. Adv. Mater. 14 (2013) 045003
S. Perlt, Th. Höche, J. Dadda, E. Müller, B. Rauschenbach,
Compositional sensitivity of microstructures and thermoelectric properties of Ag1-xPb18Sb1-yTe20 compounds
J. Electronic Mat. 42 (2013)
H. Lu, E. Thelander, J.W. Gerlach, U. Decker, B. Zhu, B. Rauschenbach,
Single pulse laser-induced phase transitions of PLD-deposited Ge2Sb2Te5 films
Adv. Funct. Mater. 23 (2013)
D. Cao, X. Cheng, Y. Yu, X. Li, C. Liu, D. Shen, S. Mändl,
Competitive Si and La effect in HfO2 phase stabilization in multi-layer (La2O3)0.08(HfO2) films
Appl. Phys. Lett. 103 (2013)
B. Rauschenbach, Ch. Grüner, Ch. Khare,
Ion beam sputtering induced nano-scluptured thin films
Proceed. XXI. Intern. Conference'Ion-Surfce Interaction' , Jaroslavel, 22.-26.08. (2013) 233-238
A. Shalabney, Ch. Khare, B. Rauschenbach, I. Abdulhalim,
Metallic Nanosculptured thin films for biosensing applications using surface plasmon resonance and enhanced spectroscopies
Proceed. IEEE 2013 (2013)
T. Bahners, L. Prager, A. Pender, J. Gutmann,
Super-wetting surfaces by plasma- and UV-based grafting of micro-rough acrylate coatings
Prog. Org. Coat. 76 (2013)
B. Rauschenbach,
Entwicklungstrends für neuartige funktionelle Oberflächen
Technik in Bayern 5 (2013)
S. Reichelt, S. Oehmichen, F. Lohmann, J. Becher, J. Weisser, M. Schnabelrauch, W. Knolle,
Biokompatible makroporöse Cryogele: Synthese, Charakterisierung und Anwendungen
9. Thüringer Biomaterial-Kolloquium, Zeulenroda, 03.-05.09 (2013) 348-352
A. Schulze, S. Starke, M. Went, A. Prager,
Enzyme Immobilization on Polymer Membranes by Electron Beam Irradiation
Polym. Adv. Technol. 24 (S1) (2013)
E. B. Anderson, P. S. Kumar, D. Schawaller, S. Mavila, M. Voss, A. Freyer, W. Knolle, F. Hermanutz, M. R. Buchmeiser;,
p-Doping and Fiber Spinning of Poly(heptadiyne)s
Macromol. Chem. Phys. 214 (2013) 1047-1051
M. Cyris, W. Knolle, J. Richard, E. Dopp, C. von Sonntag, T. C. Schmidt;,
Reaction of Gadolinium Chelates with Ozone and Hydroxyl Radicals
Environ. Sci. Technol. 47 (2013) 9942-9949
Y. Ma, S.G.Mayr,
Nanoindentation response of substrate-attached and freestanding single-crystalline Fe7Pd3 ferromagnetic shape memory thin films around the martensite transition: The impact of constraints and beyond
Acta Materialia 61 (2013)
B. Rauschenbach,
Preparation of ion beam induced nanostructures on surface
3rd Intern. Conference Nanomaterials: Applications and Properties, Alushta, 16.-21.09 (2013) Vol. 2, no. 1, pp. 01001-
T. Scherzer, G. Mirschel, O. Daikos, K. Heymann, B. Genest, C. Sommerer, C. Steckert,
In-line Monitoring of the Conversion in an Offset Printing Press
Proc. RadTech Europe 2013, Basel, 15.-17.10. (2013)
A. Shavorskiy, A. Cordones, J. Vura-Weis, K. Siefermann, D. Slaughter, F. Sturm, F. Weise, H. Bluhm, M. Strader, H. Cho, M. Fu, C. Bacellar, C. Khurmi, M. Hertlein, J. Guo, T. Tyliszczak, D. Prendergast, G. Coslovich, J. Robinson, R. Kaindl, R. Schoe,
Time-resolved x-ray photoelectron spectroscopy techniques for real-time studies of interfacial charge transfer dynamics
APPLICATION OF ACCELERATORS IN RESEARCH AND INDUSTRY: Twenty-Second International Conference, Ft. Worth, TX, USA , 5.-10.08. (2013) 475-479
P. Lorenz, M Klöppel, F. Frost, M. Ehrhardt, P. Li, K. Zimmer,
Nanostructuring of fused silica surfaces induced by KrF excimer laser radiation: Experiment and theory
NSTI - Nanotech 1 (2013)
P. Lorenz, M. Klöppel, F. Frost, M. Ehrhardt, K. Zimmer, P. Li,
Laser-induced circular nanostructures in fused silica assisted by a self-assembling chromium layer
Appl. Surf. Sci. 280 (2013)
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching of fused silica with femtosecond laser using thin metal layers
Appl. Surf. Sci. 278 (2013)
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching of CaF2 crystals with KrF excimer laser
Appl. Surf. Sci. 265 (2013)
R. Feder, C. Bundesmann, H. Neumann, B. Rauschenbach,
Ion beam sputtering of Ag Angular and energetic distributions of sputtered and scattered particles
Nucl. Instrum. Meth. B 316 (2013) 198 204
A. Lotnyk, D. Poppitz, J. Gerlach, B. Rauschenbach,
Low-energy Ar+-polishing of FIB prepared GaN-SiC interfaces for Cs-corrected STEM
MC 2013 Proceedings (URN number: urn:nbn:de:bvb:355-epub-287343) ed. R. Rachel, Regensburg, Germany, 25.-30.8 (2013) 305-306
U. Roß, A. Lotnyk, E. Thelander, B. Rauschenbach,
Characterization of laser-deposited Ge-Sb-Te thin films by Cs corrected STEM
MC 2013 Proceedings (URN number: urn:nbn:de:bvb:355-epub-287343) ed. R. Rachel, Regensburg, Germany, 25.-30.8 (2013) 435-436
D. Poppitz, A. Lotnyk, J. Gerlach, B. Rauschenbach,
Microstructure investigation of porous gallium-nitride thin films
MC 2013 Proceedings (URN number: urn:nbn:de:bvb:355-epub-287343) ed. R. Rachel, Regensburg, Germany, 25.-30.8 (2013) 617-618
S. Reichelt, S. Oehmichen, F. Lohmann, J. Becher, J. Weisser, M. Schnabelrauch, W. Knolle,
Elektronenstrahl-induzierte Synthese von makroporösen Cryogelen für biotechnologische Anwendungen
V2013-WS1: Beschichtungungen für Biotechnologie und Medizintechnik, Dresden, 14.-17.10. (2013) 49
M. Tromayer, Z. Li, J. Torgersen, A. Ajami, A. Rosspeintner, S. Naumov, T. Scherzer, J. Stampfl, R. Liska,
Novel Highly Efficient Initiators For Two-Photon Induced Photopolymerization
Proc. RadTech Europe, Basel, 15.-17.10. (2013)
A. Schulze, M. F. Maitz, R. Zimmermann, B. Marquardt, M. Fischer, C. Werner, M. Went, I. Thomas,
Permanent surface modi cation by electron-beam-induced grafting of hydrophilic polymers to PVDF membranes
RSC Adv. 3 (2013) 22518-22526
A. Fischbacher, J. von Sonntag, C. von Sonntag, T. C. Schmidt,
The .OH Radical Yield in the H2O2 + O3
Environ. Sci. Technol. 47 (2013) 9959-9964
L. Prager, M. Stasiak, O. Kahle, H. Herrnberger, F. Kita,
Hochbarriereverbund für die Verkapselung von flexiblen Dünnschicht-Solarzellen
Abschlussbericht BMBF 033RI0905A-E (2013) 1-119
C. Eichhorn, F. Scholze, C. Bundesmann, H. Neumann,
Plasmadiagnostics in the Plume of a Radiofrequency Ion Thruster
Paper Nr. IEPC-2013-295, 33th International Electric Propulsion Conference, Washington, DC, 6.-10.10 (2013)
Yann Gloaguen, Gaëtan Bénac-Lestrille, Laure Vendier, Ulrike Helmstedt, Eric Clot, Gilles Alcaraz, and Sylviane Sabo-Etienne ,
Monosubstituted Borane Ruthenium Complexes RuH2(·2:·2-H2BR)(PR23)2: A General Approach to the Geminal Bis(Ã-B H) Coordination Mode
Organometallics 32 (2013) 4868-4877
J. Kullmann, C. Küster, T. Titze, C. Chmelik, R. Gläser, D. Enke, L. Prager,
Potential des Micro-Imaging zur in-situ-Untersuchung von chemischen Reaktionen in nanoporösen Katalysatoren
Chem. Ing. Tech. 85 / 11 (2013) 1768-1773
S. Reichelt, C. Abe, S. Oehmichen, W. Knolle, A. PRager, M. Schnabelrauch, J. Becher, S. Möller, A. Berg, J. Weisser,
Tailor made electron-beam generated polymeric cryogels and their biotechnological application
International Meeting on Radiation Processing, Shanghai, 04.-08.11. (2013) 74
P. Nalawade, S. Naumov and S. Kapoor,
Comparative study of p-amino benzhydrazide and m-amino benzhydrazide by free radicals and free electron transfer
J. Phys. Org. Chem. 26 (2013) 870 -878
M. Sivis, M. Duwe, B. Abel, C. Ropers,
Extreme-ultraviolet-light generation in plasmonic nanostructures
Nat. Phys. Doi:10.1038 9 (2013) 1-6
B. Abel,
Hydrated Interfacial Ions and Electrons
Annu. Rev. Phys. Chem. 64 (2013) 533-552
M. Golecki, J. Lach, A. Jeremies, F. Lungwitz, M. Fronk, G. Salvan, D.R.T. Zahn, J. Park, Y. Krupskaya, V. Kataev, R. Klingeler, B. Büchner, B. Mahns, M. Knupfer, P.F. Siles, D. Grimm, O.G. Schmidt, A. Reis, W.R. Thiel, D. Breite, B. Abel, B. Kerstin,
Chemisorption of Exchange-Coupled (Ni2L(dppba)* Complexes on Gold by Using Ambidentate 4-(Diphenylphosphino)benzoate Co-Ligands**
Chem. Eur. J. 19 (2013) 7787-7801
A. Lotnyk,
Analytisches S/TEM am IOM in Leipzig
Elektronenmikroskopie - Mitteilungen der Deutschen Gesellschaft für Elektronenmikroskopie e.V. 36 (2013) 18
M. Teichmann, J. Lorbeer, B. Ziberi, F. Frost, B. Rauschenbach,
Pattern formation on Ge by low energy ion beam erosion
New J. Phys 15 (2013) 103029
R. Feder, F. Frost, H. Neumann, C. Bundesmann, B. Rauschenbach,
Systematic investigations of low energy Ar ion beam sputtering of Si and Ag
Nucl. Instrum. Meth. B 317 (2013) 137-142
M. Ehrhardt, A. Wehrmann, P. Lorenz, K. Zimmer,
Patterning of CIGS thin films induced by rear-side laser ablation of polyimide carrier foil
Appl. Phys. A 113 (2013) 309-313
X. Wang, M. Ehrhardt, P. Lorenz, C. Scheit, S. Ragnow, X. Ni, K. Zimmer,
In-process measuring of the electrical shunt resistance of laser-scribed thin-film stacks by nested circular scribes
Rev. Sci. Instrum. 84 (2013)
B. Hopp, T. Smausz, T. Csizmadia, C. Vass, C. Tápai, B. Kiss, M. Ehrhardt, P. Lorenz, K. Zimmer,
Production of nanostructures on bulk metal samples by laser ablation for fabrication of low-reflective surfaces
Appl. Phys. A 113 (2013) 291-296
M. Ehrhardt, C. Scheit, S. Ragnow, P. Lorenz, A. Wehrmann, A. Braun, K. Zimmer,
Fabrication of contact holes by rear side laser ablation of polyimide foils for CIGS solar modules
Appl. Surf. Sci. 278 (2013) 219-222
K. Zimmer, M. Ehrhardt, P. Lorenz, T. Stephan, R. Ebert, A. Braun,
Joining of molybdenum thin films with copper printed circuit board by laser micro-riveting
Opt. Laser. Technol. 49 (2013) 320-324
O. Daikos, G. Mirschel, B. Genest, T. Scherzer,
In-line Monitoring of the Thickness of Printed Layers by NIR Spectroscopy: Elimination of the Effect of the Varnish Formulation on the Prediction of the Coating Weight
Ind. Eng. Chem. Res. 52 (2013) 17735-17743
K. Fischer, M. Müller, J. Gerlach, A. Schulze,
Photocatalytic Mixed Matrix Membrane for Water Purification
Third International Conference on Multifunctional, Hybrid and Nanomaterials, Sorrento, 3.-7.3. (2013)
K. Fischer, D. Meinhard, R. Gläser, A. Schulze,
Nanoporous Photocatalytic TiO2 PES Mixed Matrix Membrane for Water Purification
11th International Conference on Materials Chemistry, University of Warwick, 8.-11.06. (2013)
S. M. Yunusov, S. Rummel, M. Herrmann, E. S. Kalyuzhnaya, V. B. Shur,
Catalytic activity of systems based on supported potassium salts of transition metal carbonyl hydrides in hydrogen-deuterium exchange of hydrocarbons
Russ. Chem. Bull. 62 (2013) 1191-1194
L. Prager, U. Helmstedt, H. Herrnberger, M. Münch, O. Kahle, F. Kita, A. Pender, M. Stasiak,
Photochemical approach to thin barrier films for the encapsulation of flexible laminar electronic devices
pro flex 2013, Dresden, 24.-25.9. (2013) vol. 2/ 225-245
M. Dinescu, C. Champeaux, P.M. Ossi, K. Zimmer,
Laser materials processing for micro and nano applications
Appl. Surf. Sci. 278 (2013) 1
M. Ehrhardt, P. Lorenz, F. Frost, J. Zajadacz, K. Zimmer,
Processes at Multi-pulse Laser Embossing of Submicron Surface Structures
J. Laser Micro/Nanoeng 9 (2013) 252-256
2012
D. Abou-Ras, T. Rissom, B. Marsen, F. Frost, H. Schulz, F. Bauer, V. Efimova, V. Hoffmann, A. Eicke,
Enhancements in specimen preparation of Cu(In,Ga)(S,Se)2 thin films
Micron 43 (2012) 470
S. G. Mayr,
Energetic and thermodynamic aspects of structural transitions in Fe-Pd ferromagnetic shape memory thin films: An ab initio study
Phys. Rev. B 85 (2012) 014105
A. Schulze, B. Marquardt, M. Went, A. Prager, M. R. Buchmeiser,
Electron beam-based functionalization of polymer membranes
Water Sci. Technol. 65 (2012) 574-580
T. Scherzer,
VUV-induced photopolymerization of acrylates
Macromol. Chem. Phys. 213 (2012) 324 334
L. Prager, L. Wennrich, W. Knolle, S. Naumov, A. Prager,
Absorption of acrylates and polysilazanes in the far UVC and VUV regions
Mater. Chem. Phys. 134 (2012) 235-242
A. Schulze, A. Boulares-Pender, M. Went, I. Thomas, B. Marquardt, A. Prager,
Membrane hydrophilization using electron beam and plasma techniques
Paper Nr. P013, 11th World Filtration Congress, Graz, Österreich, 16.-20.04. (2012)
S. Naumov, M.R. Buchmeiser,
On the regioselectivity of insertion and the role of the anionic ligands in the Ru-alkylidene catalyzed cyclopolymerization of 1,6-heptadiynes
Organometallics 31 (2012) 847-856
Klaus Zimmer, Martin Ehrhardt, Rico Böhme,
Laser-induced backside wet etching: Processes, results, and applications
In: Laser Ablation in Liquids, Ed. Ed. G. Yang, Pan Stanford Publishing (2012) 1013 - 1132
P. Gecys, G. Raciukaitis, A. Wehrmann Klaus Zimmer, A. Braun, St. Ragnow,
Scribing of thin-film solar cells with picosecond and femtosecond lasers
Journal of Laser Micro/Nanoengineering 7 (2012) 33-37
M. Ehrhardt, P. Lorenz, K. Zimmer,
Surface modification by laser etching using a surface-adsorbed layer
Thin Solid Films 520 (2012) 3629-3633
A. Zado, J.W. Gerlach, D.J. As,
Low interface trapped charge density in MBE in situ grown Si3N4 cubic GaN MIS structures
Semicond. Sci. Technol. 27 (2012) 035020
L. Neumann, J.W. Gerlach, B. Rauschenbach,
Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)
Thin Solid Films 520 (2012) 3936-3945
A. Wehrmann, S. Puttnins, L. Hartmann, M. Ehrhardt, P. Lorenz and K. Zimmer,
Analysis of laser scribes at CIGS thin-film solar cells by localized electrical and optical measurements
Optics & Laser Technology 44 (2012) 1753-1757
Y. Ma, A. Setzer, J.W. Gerlach, F. Frost, P. Esquinazi, S.G. Mayr,
Freestanding single crystalline Fe Pd ferromagnetic shape memory membranes role of mechanical and magnetic constraints across the martensite transition
Adv. Funct. Mater. 22 (2012) 2529
A.M. Jakob, M. Müller, B. Rauschenbach, S.G. Mayr,
Nanoscale mechanical surface properties of single crystalline martensitic Ni-Mn-Ga ferromagnetic shape memory alloys
New J. Phys 14 (2012) 033029
V. Dallacasagrande, M. Zink, S. Huth, A. Jakob, M. Müller, A. Reichenbach, J. A. Käs, S. G. Mayr,
Tailoring substrates for long-term organotypic culture of adult neuronal tissue
Advanced Materials 24 (2012) 2399-2403
J. Bauer, M. Weise, B. Rauschenbach, N. Geyer B. Fuhrmann,
Shape evolution in glancing angle deposition of arranged Germanium nonocolumns
Journal of Applied Physics 111 (2012) 104309
P. Junghans, B. Wagner, A. Nickel, H. Faust,
Tracer kinetics and metabolic models in medicine
Isot Environ Healt S 48 / 2 (2012) 226 238
J.W. Gerlach, T. Ivanov, L. Neumann, Th. Höche, D. Hirsch, B. Rauschenbach,
Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets
J. Appl. Phys. 111 (2012) 113521
Th. Arnold, G. Boehm, H. Paetzelt,
Plasma jet machining based process chain for the manufacturing of complex shaped synchrotron mirrors
Paper Nr. P6.05, 12th euspen International Conference, Stockholm, 04.-08.6. (2012) 71V2
Joachim Zajadacz, Renate Fechner, Klaus Zimmer,
Fabrication of high aspect ratio sub-100 nm patterns in fused silica
Journal of Materials Science and Engineering A 2 (2012) 458-462
A. Karabchevsky, C. Khare, B. Rauschenbach, I. Abdulhalim,
Microspot sensing based on surface enhanced fluorescence from nanosclptured thin films
J. Nanophotonics 6 (2012) 061508
S. Macko, J. Grenzer, F. Frost, M. Engler, D. Hirsch, M. Fritzsche, A. Mücklich, T. Michely,
Iron assisted ion beam patterning of Si(001) in the crystalline regime
New J. Phys 14 (2012) 073003
H. Lu, E. Thelander, J.W. Gerlach, D. Hirsch, U. Decker, B. Rauschenbach ,
Ge2Sb2Te5 phase-change films on polyimide substrates by laser deposition
Appl. Phys. Lett. 101 (2012) 031905
J. Zajadacz, R. Fechner, K. Zimmer,
Fabrication of high aspect ratio sub-100 nm patterns in fused silica
J. Mater. Sci. 2 (5) (2012) (2012) 458-462
Th. Arnold, G. Boehm,
Application of atmospheric plasma jet machining (PJM) for effective surface figuring of SiC
Precision Engineering 36 (2012) 546 -553
M. Mecklenburg, A. Schuchardt, Y.K. Mishra, S. Kaps, R. Adelung, A. Lotnyk, L. Kienle, K. Schulte,
Aerographite: Ultra lightweight, flexible nanowall, carbon microtube material with outstanding mechanical performance
Advanced Materials 24 (2012) 3486 3490
C. Khare, J.W. Gerlach, T. Höche, B. Fuhrmann, H.S. Leipner, B. Rauschenbach,
Effects of annealing on arrays of Ge nanocolumns formed by glancing angle deposition
Appl. Surf. Sci. 258 (2012) 9762-9769
C. Khare, J.W. Gerlach, C. Patzig, B. Rauschenbach,
Ion beam sputter deposition of epitaxial Ag films on native oxide covered Si(100) substrates
Appl. Surf. Sci. 258 (2012) 9617-9622
S. Reichelt, C. Elsner, A. Prager, S. Naumov, J. Kuballa, M. R. Buchmeiser,
Amino-functionalized monolithic spin-type columns for high-throughput lectin affinity chromatography of glycoproteins
Analyst 137 (2012) 2600-2607
G. Mirschel, K. Heymann, O. Savchuk, T. Scherzer, B. Genest,
In-line monitoring of the thickness of printed layers by NIR spectroscopy at a printing press
Appl. Spectrosc. 66 (2012) 765-772
A. Schulze, A. Boulares-Pender, M. Went, I. Thomas, B. Marquardt, A. Prager,
Permanente Hydrophilierung von Polymermembranen mittels Plasma- und Elektronenstrahlbehandlung
13. Wörlitzer Workshop: Membrantechnologien und Modifizierung von Membranen, Wörlitz, 04.07. (2012)
G. Mirschel, O. Savchuk, T. Scherzer, B. Genest,
The effect of different gloss levels on in-line monitoring of the thickness of printed layers by NIR spectroscopy
Anal. Bioanal. Chem. 404 (2012) 573-583
T. Bahners, L. Prager, S. Kriehn, J. S. Gutmann,
Super-hydrophilic surfaces by photo-induced micro-folding
Appl. Surf. Sci. 259 (2012) 847-852
M. Ehrhardt, P. Lorenz and K. Zimmer,
Nanometerpräzises Abformen in Metalloberflächen mit gepulster Laserstrahlung
Proc. 3. Kolloquium 'Dünne Schichten in der Optik', Leipzig, 04.06.09. (2012) 390-394
P. Lorenz, M. Ehrhardt, K. Zimmer,
Status des laserinduzierten Rückseitenätzens optischer Materialien
Proc. 3. Kolloquium 'Dünne Schichten in der Optik', Leipzig, 04.-06.09. (2012) 415-416
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laserinduziertes Vorderseitenätzen (LIFE) von Quarzglas
Proc. 3. Kolloquium 'Dünne Schichten in der Optik', Leipzig, 04.-06.09. (2012) 413-414
F. Frost, R. Fechner, J. Lorbeer, M. Teichmann, A. Schindler, R. Steiner, M. Burkhardt, L. Erdmann, T. Gase, T. Glaser, A. Gatto,
Mikro- und Nanostrukturierung optischer Oberflächen durch Ionenstrahlprozesse
8. ThGOT Thementage Grenz- und Oberflächentechnik und 3. Optik-Kolloquium »Dünne Schichten in der Optik«, Leipzig, 04.-06.09. (2012) 345 - 349
C. Bechtold, A. Lotnyk, B. Erkartal, L. Kienle, E. Quandt,
Structural characterization of sputtered Fe70Pd30 thin films during ex situ and in situ TEM heating
Adv. Eng. Mater. 14 (2012) 716-723
A. Shalabney, C. Khare, B. Rauschenbach, I. Abdulhalim,
Detailed study of surface enhanced Raman scattering from metallic nano sculptured thin films and their potential for biosensing
J. of Nanophotonics 6 (2012) 48-55
H. von Wenckstern, R. Schmidt-Grund, C. Bundesmann, A. Müller, C.P. Dietrich, M. Stölzel, M. Lange, M. Grundmann,
The (Mg,Zn)O alloy
in 'Handbook of Zinc Oxide and Related Materials: Volume One, Materials'; Z.C. Feng (Ed.); ISBN 978-1-43-985570-6; Taylor and Francis/CRC Press, Boca Raton, Florida, USA (2012) 251-313
E. Thelander, B. Rauschenbach,
Influence of burst pulses on the film topography in picosecond pulsed laser deposition of LaALO3
J. of Physics: Conference Series 356 (2012) 012015
D. Lellinger, S. Agarwal, T. Scherzer, H. Oehler, I. Alig,
Simultaneous recording of conversion and shear modulus
Adhäsion 3/2012 (2012) 48-51
D. Lellinger, S. Agarwal, T. Scherzer, H. Oehler, I. Alig,
Simultane Erfassung von Umsatz und Schubmodul
Adhäsion 6/2012 (2012) 38-42
A. Schulze, S. Starke, A. Prager, M. Went,
Immobilization of trypsin on polymer membranes via electron beam irradiation
Paper Nr. P2.153, Euromembrane 2012, London, UK, 23.-27.09. (2012)
G. Ra iukaitis, P. Ge ys, S. Grubinskas, K. Zimmer, M. Ehrhardt, A. Wehrmann, A. Braun ,
Laser structuring of thin films for flexible CIGS solar cells
Photovoltaics International 17 (2012) 91-98
J. Bauer, J. Weise, M. Khare, B. Rauschenbach,
Ordered Si-Ge nanostructures by glancing angle deposition via ion beam sputtering
Proceed. MRS Symp. 1329 (2012) 81-87
I. Claussen, R.A. Brand, H. Hahn, S.G. Mayr,
Relaxation scenarios in Fe-Pd and Fe-Pd-Cu magnetic shape memory splats short range order and microstructure
Scripta Mater 66 (2012) 163
S. G. Mayr, A. Arabi-Hashemi,
Structural defects in Fe-Pd-based ferromagnetic shape memory alloys: tuning transformation properties by ion irradiation and severe plastic deformation
New J. Phys 14 (2012) 103006
M. Hennes, J. Buchwald, S. G. Mayr,
Structural properties of spherical Cu/Ni nanoparticles
Cryst. Eng. Comm. 14 (2012) 7633-7638
D. Manova, A. Bergmann, S. Mändl, H. Neumann, B. Rauschenbach,
Integration of a broad beam ion source with a high-temperature x-ray diffraction vacuum chamber
Rev. Sci. Instrum. 83 (2012) 113901
L. Escalada, J. Lutz, S. Mändl, S. Simison, D. Manova, H. Neumann,
Corrosion properties of stainless steel 316L after energetic nitrogen insertion
Surf. Coat. Tech. 211 (2012) 76-79
C. Díaz, J.A. García, S. Mändl, R. Pereiro, B. Fernández,
Nanoscale plasma treatment for improvement behavior of F-799 alloys for MoM prosthesis application
Int. Conf. on Nanotechnology in Medicine, London, U.K. , 07.-09.11. (2012)
P. Lorenz, M. Ehrhardt, A. Wehrmann, K. Zimmer,
Laser-induced front side etching of fused silica with XeF excimer laser using thin metal layers
Appl. Surf. Sci. 258 (2012) 9138-9142
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching of fused silica with KrF excimer laser using thin chromium layers
Phys. Status Solidi A 209 (2012) 1114-1118
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side and back side etching of fused silica with KrF and XeF excimer lasers using metallic absorber layers: A comparison
Appl. Surf. Sci. 258 (2012) 9742-9748
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching of fused silica with short and ultra-short laser pulses
Paper Nr. 82430Y, SPIE Photonics West, San Francisco, 21.-26.1. (2012) 82430Y
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching: An easy and fast method for sub-µm structuring of dielectrics
Physics Procedia 39 (2012) 542-547
M. Ehrhardt, P. Lorenz, F. Frost, K. Zimmer,
Laser embossing of micro- and submicrometer surface structures in copper
Physics Procedia 39 (2012) 735-742
M. Burkhardt, R. Fechner, L. Erdmann, F. Frost, R. Steiner, O. Sandfuchs, A. Schindler, A. Gatto, S. Sinzinger,
Imaging gratings with modulated blaze - realized by a combination of holography and reactive ion beam etching
Paper Nr. A003, DGaO-Proceedings 2012, Eindhoven, Niederlande, 29.05.-02.06. (2012)
M. Mäder, Th. Höche, B. Rauschenbach,
Non-periodic nanoscale templates by diffration mask projection laser ablation
Phys. Status Solidi A 209 (2012) 2208-2211
A. Jenichen, C. Engler,
Reconstructions and surface facets of the GaAs(112)A and (112)B surfaces: First-principles DFT supercell calculations
Surf. Sci. 608 (2012) 204-211
A. Arabi-Hashemi, S. G. Mayr,
Ion-irradiation-assisted phase selection in single crystalline Fe7Pd3 ferromagnetic shape memory alloy thin films: From fcc to bcc along the Nishiyama-Wassermann path
Phys. Rev. Lett. 109 (2012) 195704
R. Joshi, T. K. Ghanty, T. Mukherjee and S. Naumov,
Structure, hydrogen bonding and binding energies of neutral and cation dimers of H2Se with H2Se, H2S and H2O
J. Phys. Chem. 116 (2012) 11965 -11972
A. Schulze, B. Marquardt, M. Went, A. Prager,
Elektronenstrahlmodifizierung von Polymermembranen
20. NDVaK, Dreden, 25.-26.10. (2012) 35
A. Schulze, S. Starke, A. Prager, M. Went,
A novel electron beam-based method for the immobilization of trypsin on polymer membranes
14th Aachener Membrankolloquium, Aachen, Germany, 07.-08.11. (2012) 532
T. Scherzer, O. Savchuk, S. Naumov, W. Knolle, K. Heymann,
Self-initiation of photopolymerization reactions using halogenated (meth)acrylates
RadTech Report 26 (2012) 18-26
M. Faubel, K. R. Siefermann, Y. Liu, B. Abel,
Ultrafast soft x-ray photoelectron spectroscopy at liquid water microjets
Acc. Chem. Res. 45 (2012) 120
S. Reichelt, C. Abe, S. Hainich, C. Elsner, W. Knolle,
Charakterisierung und Anwendung elektronenstrahlgenerierter poröser Cryogele
ThGot, Leipzig, 04.-06.09. (2012) 255
S. Reichelt, C. Abe, A. Prager, R. Konieczny, W. Knolle, U. Decker,
Electron-beam derived macroporus polymeric cryogels
IRaP, Krakau, 14.-19.10. (2012) 24
S. Reichelt, C. Elsner,
Electron-beam assisted synthesis of polymer based macroporous scaffolds for biotechnological applications
NanoBiomed, Frankfurt, 06.-07.03. (2012) 30
K. Fischer, M. Müller, J.W. Gerlach, A. Prager, A. Schulze,
Photocatalytic membrane for water purification
Euromembrane 2012, London, 23.-27.9 (2012) 1807
K. Fischer, A. Prager, P. Hertel, J. W. Gerlach, A. Schulze,
Photocatalytic membrane for water purification
Aachener Membran Kolloqium, Aachen, 7.-8.11. (2012) 368
R. Mehnert, E. Mai, A. Freyer, E.Bilz,
Freisetzung von SiO2-Nanopartikeln aus UV-gehärteten Nanokompositen
Farbe + Lack 118-4 (2012) 31-35
U. Helmstedt, E. Clot,
Hydride ligands make the difference: Density functional study of the mechanism of the Murai reaction catalyzed by [Ru(H)2(H2)2(PR3)2] (R=cyclohexyl)
Chem. Eur. J. 18 (2012) 11449- 11458
R. Hassert, M. Pagel, Z. Ming, T. Häupl, B. Abel, K. Braun, M. Wiessler, A.G.Beck-Sickinger,
Biocompatible Silicon Surfaces through Orthogonal Click Chemistries and a High Affinity Silicon Oxide Binding Peptide
Bioconjugate Chem. 23 (2012) 2129-2137
A. Meiners, G. Ohms, M. Leck, U. Vetter, B. Abel ,
Plasma treatment of glass fiber size to optimize fiber matric adhesion
Adhes Sci Technol 26 (2012) 1611-1627
S. Kempf, R. Srama, E. Grün, A. Mocker, F. Postberg, Jon K. Hillier, M. Horányi, Z. Sternovsky, B. Abel, A. Beinsen, R. Thissen, J. Schmidt, F. Spahn, N. Altobelli ,
Linear high resolution dust mass spectrometer for a mission to the galilean satellites
Planet Space Sci 65 (2012) 10-20
B. Abel, U. Buck, A.L.Sobolewski, W. Domcke,
On the nature and signatures of the solvated electron in water
Phys. Chem. Chem. Phys. 14 (2012) 22-34
M. Sivis, M. Duwe, Y. Liu, K. Siefermann, B. Abel, C. Ropers,
High Intensity Lasers and High Field Phenomena
Atomic and Molecular Physics, Berlin, 12.03. (2012)
S.N. Britvin, O.I. Siidra, A. Lotnyk, L. Kienle, S.V. Krivovichev, W. Depmeier,
The fluoride route to Lindqvist clusters: Synthesis and crystal structure of layered hexatantalate Na8Ta6O19·26H2O
Inorganic Chemistry Communications 25 (2012) 18-20
H. Neumann, R. Feder, C. Bundesmann,
Thruster Relevant Material Sputter Investigations
Electronic Journal of the Moscow Aviation Institute 'Trudy MAI ' 60 (2012)
C. Bundesmann, F. Scholze, H. Neumann,
Advanced Electric Propulsion Diagnostics
Electronic Journal of the Moscow Aviation Institute 'Trudy MAI' 60 (2012)
K. Zimmer, J. Zajadacz, R. Fechner, K. Dhima, H.C. Scheer,
Fabrication of optimized 3D microstructures with undercuts in fused silica for replication
Microelectron. Eng. 98 (2012) 163-166
P. Lorenz, M. Ehrhardt, K. Zimmer,
Laser-induced front side etching: An easy and fast method for Sub-¼m structuring of dielectrics
Physics Procedia 39 (2012) 542-547
J. Zajadacz, et al.,
Measurement and simulation of the pull-off strength at the separation of miniaturized 3D connectors consisting of silicon masters with undercuts and PDMS replicas
MICROELECTRON ENG 101 (2012) 31-35
M. Stockmann, D. Hirsch, J. Lippmann-Pipke, H. Kupsch,
Geochemical study of different-aged mining dump materials in the Freiberg mining district, Germany
Environ Earth Sci 68 (2012) 1153-1168
M. Sivis, M. Duwe, B. Abel, C. Ropers ,
Nanostructure-enhanced atomic line emission
Nature 485 (2012) -
A. Henriquez, H. Bhuyan, M. Favre, B. Bora, E. Wyndham, H. Chuaqui, S. Mändl, J.W. Gerlach, D. Manova,
Nitriding of Ti substrate using energetic ions from plasma focus device
J PHYS CONF SER 370 (2012) 012010
C. Díaz, J.A. García, S. Mändl, R. Pereiro, B. Fernández, R.J. Rodríguez,
Plasma Immersion Ion Implantation for Reducing Metal Ion Release
AIP Conference Proceedings 1496 (2012) 284-287
J. A. Jacob, S. Naumov, T. Mukherjee, S. Kapoor,
Possible Binding Sites for Indole acids stabilized water soluble Ag Nanoparticles: An experimental and theoretical study
Int. J. Chem. 4 (2012) 451-458
T. Bahners, L. Prager, J.S. Gutmann,
Controlling the Wettability of Acrylate Coatings with Photo-Induced Micro-Folding
8th Intern. Sympos. on Contact Angle, Wettability and Adhesion, Quebec, Canada, 13.-15.6. (2012) 259-277
T. Fischer, L. Prager, J. Hohage, H. Ruelke, S. E. Schulz, R. Richter, T. Gessner,
A two-step UV curing process for producing high tensile stressed silicon nitride layers
Mater. Res. Soc. Symp. Proc. Vol. 1455, San Francisco, 9.-13.4. (2012) DOI: 10.1557/opl.2012.1348
G. Raciukaitis, P. Gecys, S. Grubinskas, K. Zimmer, M. Ehrhardt, A. Wehrmann, A. Braun,
Laser Structuring of Thin Films for Flexible CIGS Solar Cells hotovoltaics Intern
Photovoltaics Intern 17 (2012) 91-98
2011
M. Janietz, T. Arnold,
Surface figuring of glass substrates by local deposition of silicon oxide with atmospheric pressure plasma jet
Surf. Coat. Tech. 205 (2011) S351-S354
J. Meister, Th. Arnold,
New Process Simulation Procedure for High-Rate Plasma Jet Machining
Plasma Chem Plasma Process 31 (2011) 91-107
R. Bandari, A. Prager, Th. Höche, M. R. Buchmeiser,
Formation of Pd-Nanoparticles within the Pores of Ring-Opening Metathesis Polymerization-drived Polymeric Monoliths for use in Organometallic Catalysis
ARKIVOC (2011) 54-70
C. Elsner, C. Ernst, M. R. Buchmeiser ,
Miniaturized Biocatalysis on Polyacrylate-Based Capillary Monoliths
J. Appl. Polym. Sci. 119 (2011) 1450-1458
J. Völlner, B. Ziberi, F. Frost, B. Rauschenbach,
Topography evolution mechanism on fused silica during low-energy ion beam sputtering
J. Appl. Phys. 109 (2011) 043501
M. Cornejo, B. Ziberi, Ch. Meinecke, D. Hirsch, J. W. Gerlach, Th. Höche, F. Frost, B. Rauschenbach,
Self-organized patterning on Si(001) by ion sputtering with simultaneous metal incorporation
Appl. Phys. A 102 (2011) 593-599
J. Lutz, C. Díaz, J.A. García, C. Blawert, S. Mändl,
Corrosion Behaviour of Medical CoCr Alloy After Nitrogen Plasma Immersion Ion Implantation
Surf. Coat. Tech. 205 (2011) 3043-3049
M. Tartz, T. Heyn, C. Bundesmann, C. Zimmermann, H. Neumann,
Sputter yields of Mo, Ti, W, Al, Ag under xenon ion incidence
Eur. Phys. J. D 61 (2011) 587-592
C. Khare, J.W. Gerlach, M. Weise, J. Bauer, T. Höche, B. Rauschenbach ,
Growth temperature altered morphology of Ge nanocolumns
Phys. Status Solidi A 208 (2011) 851 - 856
M. Cornejo, J. Völlner, B. Ziberi, F. Frost, B. Rauschenbach,
Ion beam sputtering: A route for fabrication of highly ordered nanopattern
in 'Fabrication and Characterization in the Micro-Nano Range', Eds. A. Fernando Lasagni und A. F. Lasagni (Springer Berlin Heidelberg, 2011) 10 (2011) 69-94
O. Albercht, R. Zierold, S. Allende, J. Escrig, C. Patzig, B. Rauschenbach, K. Nielsch, D. Görlitz,
Experimental evidence for an angular dependent transition of magnetization reversal modes in magnetic nanotubes
J. of Applied Physics 109 (2011) 093910
C. Bundesmann, M. Tartz, F. Scholze, H. Neumann, H.J. Leiter, F. Scortecci,
In Situ Thermal Characterization of the Accelerator Grid of an Ion Thruster
J. Propul. Power 27 (2011) 532-537
A. Schulze, B. Marquardt, S. Kaczmarek, R. Schubert, A. Prager, M.R. Buchmeiser,
Electron Beam-Based Modification of Polymer Membranes
Paper Nr. L 11.3, 13th Aachener Membrankolloquium, Aachen, Germany, 27.-28.10. (2011) 241
S. Reichelt, C. Elsner, A. Pender, M. Buchmeiser,
Tailoring the surface of magnetic microparticles for protein immobilization
J. Appl. Polym. Sci. 121 (2011) 3628-3634
A. Boulares-Pender, A. Prager, S. Reichelt, C. Elsner, M.R. Buchmeiser,
Functionalization of Plasma-Treated Polymer Surfaces with Glycidol
J. Appl. Polym. Sci. 121 (2011) 2543-2550
C. Elsner, J. Zajadacz, K. Zimmer,
Replication of 3D-microstructures with undercuts by UV-moulding
Microelectron. Eng. 88(1) (2011) 60-63
M. Cornejo, B. Ziberi, C. Meinecke, F. Frost,
Formation of two ripple modes on Si by ion erosion with simultaneous Fe incorporation
Appl. Surf. Sci. 257 (2011) 8659-8664
M. Janietz, T. Arnold,
Surface figuring of glass substrates by local deposition of silicon oxide with atmospheric pressure plasma jet
Surf. Coat. Tech. 205 (2011) S351-S354
D. Manova, J. Lutz, J.W. Gerlach, H. Neumann, S. Mändl,
Relation between Lattice Expansion and Nitrogen Content in Expanded Phase after Nitrogen Insertion in Austenitic Stainless Steel and CoCr Alloys
Surf. Coat. Tech. 205 (2011) 290 - 293
D. Manova, F. Scholze, S. Mändl, H. Neumann,
Nitriding of Austenitic Stainless Steel Using Pulsed Low Energy Ion Implantation
Surf. Coat. Tech. 205 (2011) 286 - 289
A. Gjevori, J.W. Gerlach, D. Manova, W. Assmann, E. Valcheva, S. Mändl,
Influence of Auxiliary Plasma Source and Ion Bombardment on Growth of TiO2 Thin Films
Surf. Coat. Tech. 205 (2011) 232 - 234
A. Zado, E. Tschumak, J.W. Gerlach, K. Lischka, D.J. As,
Carbon as an acceptor in cubic GaN/3C-SiC
J. Cryst. Growth 323 (2011) 88-90
S. Macko, F. Frost, M. Engler, D. Hirsch, T. Höche, J. Grenzer, T. Michely,
Phenomenology of iron-assisted ion beam pattern formation on Si(001)
New J. Phys 13 (2011) 073017
A. Shalabney, C. Khare, B. Rauschenbach, I. Abdulhalim,
Sensitivity of surface plasmon resonancesensors based on metallic columnar thin films in the spectral and angular interrogations
Sensors and Actuators B: Chemical 159 (2011) 201-212
C. Khare, R. Fechner, J. Bauer, M. Weise and B. Rauschenbach,
Glancing angle deposition of Ge nanorod arrays on Si patterned substrates
J. Vac. Sci. Technol. A 29(4) (2011) 041503
C. Khare, B. Fuhrmann, H.S. Leipner, J. Bauer and B. Rauschenbach,
Optimized growth of Ge nanorod arrays on Si patterns
J. Vac. Sci. Technol. A 29(5) (2011) 051501
H. Khesbak, O. Savchuk, S. Tsushima, K. Fahmy,
The role of water H-bond imbalances in B-DNA substate transitions and peptide recognition revealed by time-resolved FTIR spectroscopy
J AM CHEM SOC 133(15) (2011) 5834-5842
A. Barkleit, S. Tsushima, O. Savchuk, J. Philipp, K. Heim, M. Acker, S. Taut, K. Fahmy,
Eu(3+)-mediated polymerization of benzenetetracarboxylic acid studied by spectroscopy, temperature-dependent calorimetry, and density functional theory
INORG CHEM 50(12) (2011) 5451-5459
A. Schulze, A. Boulares-Pender, M. Went, I. Thomas, B. Marquardt, A. Prager-Duschke,
Membrane Hydrophilization using Electron Beam and Plasma Techniques
Paper Nr. ICOM888, International Congress on Membranes and Membrane Processes (ICOM 2011), Amsterdam, The Netherlands, 23.-29.7. (2011)
R. Schubert, K. Barucki, M. Hinkefuss, B. Marquart, R. Mehnert, J. Peuker, L. Prager, C. Riedel, A. Schulze, J. Vogel,
Using excimer UV radiation to produce folded, matted surfaces
Farbe + Lack 117 (2011) 21-22
S. Camadanli, U. Decker, C. Kühnel, I. Reinhardt M. R. Buchmeiser,
Homopolymerization of Ethylene, 1-Hexene, Styrene and Copolymerization of Styrene With 1,3-Cyclohexadiene Using (·5-Tetramethylcyclopentadienyl)dimethylsilyl(N-Ar )amido-TiCl2/MAO (Ar =6-(2-(Diethylboryl)phenyl)pyrid-2-yl, Biphen-3-yl)
Molecules 16 (2011) 567-582
M. Buchmeiser, S. Camadanli, D. Wang, Y. Zou, U. Decker, C. Kühnel, I. Reinhardt,
A Catalyst for the Simultaneous Ring-Opening Metathesis / Vinyl Insertion Polymerization
Angew. Chem. Int. Ed. 50 (2011) 3566-3571
S. Camadanli, Y. Zou, V. N. Gurram, D. Wang, M. R. Buchmeiser,
Catalysts for the Simultaneous Ring-Opening Metathesis and Vinyl Insertion Copolymerization of Ethylene With Cyclic Olefins
Polym. Prepr. (Div. Polym. Chem., Am. Chem. Soc.) 52 (2011) 217-218
S. Camadanli, Y. Zou, V. N. Gurram, M. R. Buchmeiser,
Tandem Catalysts for Olefin Polymerization
Stuttgarter Kunststoffkolloquium, ISBN 978-3-00034152-6 1V2 (2011) 1-7
A. Boulares-Pender, I. Thomas, A. Schulze,
Surface modification of polyamide and polyvinylidene fluoride membranes
Paper Nr. ICOM1053, ICOM 2011 - International Congress on Membranes and Membrane Processes, Amsterdam, The Netherlands, 23.-29.7 (2011)
Ch. Schmidt, D. Wang, M. R. Buchmeiser,
Cyclopolymerization of N,N-Dipropargyl-3,4-dialkoxyanilines Using Schrock and Grubbs-Hoveyda Initiators: Influence of Initiator Structure on the Mode of Insertion
Macromol. Chem. Phys. 212 (2011) 1999-2008
S. Reichelt, C. Elsner,
Elektronenstrahl-initiierte 'Ein-Topf'-Synthese von polymerbasierten Enzymreaktoren
Paper Nr. OP12, 14. Problemseminar 'Polymermischungen', Halle, 14.-15. (2011)
A. Schulze, A. Boulares-Pender, M. Went, I. Thomas, B. Marquardt, A. Prager,
Plasma- und Elektronenstrahlbehandlung zur permanenten Hydrophilierung von Polymermembranen
7. Thüringer Grenz- und Oberflächentage, Zeulenroda, Germany, 13.-15.09. (2011) 75-80
K. Fischer, S.G. Mayr,
In-Plane Mechanical Response of TiO2 Nanotube Arrays Intrinsic Properties and Impact of Adsorbates for Sensor Applications
Adv. Mater. 23 (2011) 3838 3841
Inga-Maria Eichentopf, Georg Böhm, Thomas Arnold,
Etching mechanisms during plasma jet machining of silicon carbide
Surf. Coat. Tech. 205 (2011) 430-434
Inga-Maria Eichentopf, Georg Böhm, Thomas Arnold,
Etching mechanisms during plasma jet machining of silicon carbide
Surf. Coat. Tech. 205 (2011) 430-434
A. Jenichen, C. Engler,
Metal-organic chemical vapour deposition of (BInGa)P: Density-functional calculations to the mechanisms
J. Cryst. Growth 312 (2011) 10-15
L. Prager, L. Wennrich, W. Knolle, R. Heller, A. Prager, U. Decker,
Creation of organic-inorganic multilayer systems: aspects of photochemical-based fabrication of gas barriers
2nd International Conference on Thermosets 2011, Berlin, 21. 23.09. (2011) 26
G. Mark, S. Naumov, C. von Sonntag,
The Reaction of Ozone with Bisulfide (HS-) in Aqueous Solution -Mechanistic Aspects.
OZONE-SCI ENG 33 (2011) 37-41
S. Naumov, C. von Sonntag,
On the reaction of the hydroxyl radical with oxygen in aqueous solution 1 and the pKa of HO3
J. Phys. Org. Chem. 24 (2011) 600-602
Th. Welzel, S. Naumov, K. Ellmera,
Ion distribution measurements to probe target and plasma processes in electronegative magnetron discharges: I. Negative Ions
J. Appl. Phys. 109/7 (2011) 073302-1-9
Y.M. Riyad, S. Naumov, B. Abel, R. Hermann,
Shedding Light into the Detailed Excited State Relaxation Pathways and Reaction Mechanisms of Thionaphthol Isomers.
J. Phys. Chem. 115 (2011) 718-725
Th. Welzel, S. Naumov, K. Ellmera,
Ion distribution measurements to probe target and plasma processes in electronegative magnetron discharges: II. Positive Ions
J. Appl. Phys. 109/7 (2011) 073303-1-10
J. A. Jacob, S. Naumov, T. Mukherjee, S. Kapoor,
Preparation, characterization, surface modification and redox reactions of silver nanoparticles in the presence of tryptophan
COLLOID SURFACE B: Biointerfaces 87 (2011) 498-504
A. Boulares-Pender, I. Thomas, A. Schulze,
Surface Modification of Polyamide and Polyvinylidene Fluoride Membranes
6th IWA Specialist Conference on Membrane Technology for Water & Wastewater Treatment, Aachen, Germany, 4.-7.10. (2011) 433
T. Scherzer, G. Mirschel, O. Savchuk, K. Heymann, B. Genest,
Control of UV Offset Printing Processes by In-line NIR Spectroscopy
RadTech Europe 2011, Basel, 18.-20.10. (2011)
L. Prager, L. Wennrich, M. Dubiel, R. Heller,
Aspekte der Herstellung und Charakterisierung von organisch/anorganischen Schichtsystemen am Beispiel von Barriereverbunden
!9. Neues Dresdner Vakuumtechnisches Kolloquium, Dresden, 19.-20.10. (2011) 50-54
F. Weichelt, M. Beyer, R. Emmler, R. Flyunt, E. Beyer, M. R. Buchmeiser,
Zinc Oxide Based Coatings for the UV-Protection of Wood for Outdoor Applications
Macromol. Symp. 301 (1) (2011) 23-30
C. Ernst, C. Elsner, A. Prager, B. Scheibitz, M. R. Buchmeiser,
UV-and Thermally-Triggered Ring-Opening Metathesis Polymerization for the Spatially Resolved Functionalization of Polymeric Monolithic Devices
J. Appl. Polym. Sci. 121 (2011) 2551-2558
M. R. Buchmeiser, I. Ahmad, V. Gurram, P. S. Kumar,
Pseudo-Halide and Nitrate Derivatives of Grubbs- and Grubbs-Hoveyda Initiators: Structural Features Related to the Alternating Ring Opening Metathesis Copolymerization of Norborn-2-ene with Cyclic Olefins
Macromolecules 44 (2011) 4098-4106
S. Rupf, A. N. Idlibi, F. Al Marrawi, M. Hannig, A. Schubert, L. von Mueller, W. Spitzer, H. Holtmann, A. Lehmann, A. Rueppell, A. Schindler,
Removing Biofilms from Microstructured Titanium Ex Vivo: A Novel Approach Using Atmospheric Plasma Technology
PLoS ONE 6(10): e25893. doi:10.1371/journal.pone.0025893 6 (2011) 1-9
S. Naumov and C. von Sonntag,
Gibbs Free Energies of Reactions of Ozone with Free Radicals in Aqueous Solution Quantum-chemical Calculations
ENVIRON SCI TECHNOL 45 (2011) 9165-9204
S. Reichelt, C. Elsner, K. Umnus J. Kuballa, M. Buchmeiser,
Electronbeam-initiated synthesis and functionalization of polymeric monoliths and their application in affinity chromatography
Biomater 12 (2011) 158
C. Díaz, J.A. García, S. Mändl, R.J. Rodríguez,
Plasma immersion ion implantation for preventing metal ion releasing from CoCrMo alloys
IEEE T PLASMA SCI 39 (2011) 3045-3048
J. Lutz, D. Manova, J.W. Gerlach, M. Störmer, S. Mändl,
Interpretation of Glancing Angle and Bragg-Brentano XRD Measurements for CoCr Alloy and Austenitic Stainless Steel after PIII Nitriding
IEEE T PLASMA SCI 39 (2011) 3056-3060
X. Tian, S. Mändl, D.T.-K. Kwok, P.K. Chu,
Applications and Numerical Simulation of Plasma-Based Surface Modification
IEEE T PLASMA SCI 39 (2011) 3026-3027
F. Szillat, S.G. Mayr,
Self-organized pattern formation at organic-inorganic interfaces during deposition: Experiment versus modeling
Phys. Rev. B 84 (2011) 115462
R. Werner, T. Höche, S.G. Mayr,
Synthesis of shape, size and structure controlled nanocrystals by pre-seeded inert gas condensation
CrystEngComm 13 (8) (2011) 3046 - 3050
T. Edler, S. Hamann, A. Ludwig, S.G. Mayr,
Reversible fcc <--> bcc transformation in freestanding epitaxially grown Fe Pd ferromagnetic shape memory films
SCRIPTA MATER 64 (2011) 89-92
I Claussen and S.G. Mayr,
Mechanical properties and twin boundary drag in Fe Pd ferromagnetic shape memory foils experiments and ab initio modeling
New J. Phys 13 (2011) 063034
S. D. Phadatare, K. K. K. Sharma, B. S. M. Rao, S. Naumov, G. K. Sharma,
Spectral Characterization of the Guanine C4-OH adduct in Aqueous Medium: A Radiation Chemical Study
J. Phys. Chem. 115 (2011) 13650-13658
R. Mehnert, E. Mai, C. Riedel, R. Schubert T. Scherzer,
Surface Micro-Structuring of Acrylate Nanocomposite Coatings by 172 nm Excimer Irradiation
Proc. European Coatings Congress, Nürnberg, 29.-31.3. (2011)
I. Claussen, R.A. Brand, H. Hahn, S.G. Mayr,
Relaxation scenarios in Fe Pd and Fe Pd Cu ferromagnetic shape memory splats: Short range order and microstructure
Scripta Mater. 66 (2011) 163-166
C. Khare, B. Fuhrmann, H.S. Leipner, J. bauer, B. Rauschenbach,
Optimized growth of Ge nanorod arrays on Si patterns
J. Vac. Sci. Technol. A 29 (2011) 051501
C. Khare, R. Fechner J. Bauer, M. Weise, B. Rauschenbach,
Glancing angle deposition of Ge nanorod arrays on Si patterend substrates
J. Vac. Sci. Technol. A 29 (2011) 041503
A. Ulyanenkov, J. Chrost, P. Siffalovic, L. Chitu, E. Majkova, E. Majkova, H. Guerault, G. Maier, M. Cornejo, B. Ziberi, F. Frost,
GISAXS and AFM study of self-assembled Fe2O3 nanoparticles and Si nanodots
Phys. Status Solidi A 208 (2011) 2619
J. Dadda, E. Müller, S.Perlt, Th. Höche, P. Bauer Pereira, R. Hermann,
Microstructures and nanostructures in long-term annealed AgPb18SbTe20 (LAST-18) compounds and their influence on the thermoelectric properties
J MATER RES 26 (2011) 1800-1812
M. Ehrhardt, K. Zimmer,
Laser micro joining of thin metal films on flexible substrates for mechanical and electrical connections
Paper Nr. 792109, Photonic West, San Francisco, 22.-27.01 (2011)
A. Wehrmann, H. Schulte-Huxel, M. Ehrhardt, D. Ruthe, K. Zimmer, A. Braun , S. Ragnow,
Change of electrical properties of CIGS thin-film solar cells after structuring with ultrashort laser pulses
Paper Nr. 79210T, Photonic West, San Francisco, 22.-27.01 (2011)
M. Ehrhardt, K. Zimmer,
Joining of Thin Films on Flexible Substrates with Nanosecond Laser Pulses
JLMN 6 (2011) 110-112
Y. Zou, D. Wang, K. Wurst, C. Kü hnel, I. Reinhardt, U. Decker, V. Gurram, S. Camadanli, M. R. Buchmeiser,
Group 4 Dimethylsilylenebisamido Complexes Bearing the 6-[2- (Diethylboryl)phenyl]pyrid-2-yl Motif: Synthesis and Use in Tandem Ring- Opening Metathesis/Vinyl-Insertion Copolymerization of Cyclic Olefins with Ethylene
Chem. Eur. J. 17 (2011) 13832 13846
G. Benac-Lestrille, U. Helmstedt, L. Vendier, G. Alcaraz, E. Clot, S. Sabo-Etienne,
Dimethylaminoborane (H2BNMe2) Coordination to Late Transition Metal Centers: Snapshots of the B-H Oxidative Addition Process
Inorg. Chem. 50 (2011) 11039-11045
2010
F. Weichelt, R. Emmler, R. Flyunt, E. Beyer, M. R. Buchmeiser, M. Beyer,
ZnO-Based UV Nanocomposites for Wood Coatings in Outdoor Applications
Macromol. Mater. Eng. 295 (2010) 130-136
S. Bhattacharyya; Th. Höche; J. Jinschek; I. Avramov; R. Wurth; M. Müller; C. Rüssel,
Direct Evidence of Al-Rich Layers around Nanosized ZrTiO4 in Glass: Putting the Role of Nucleation Agents in Perspective
Crystal Growth & Design 10 (2010) 379-385
M. Mäder; Th. Höche; J.W. Gerlach; S. Perlt; J. Dorfmüller; R. Vogelgesang; K. Kern; B. Rauschenbach,
Plasmonic Activity of Large-Area Gold-Nanodot Arrays on Arbitrary Substrates
Nano Letters 10 (2010) 47-51
S. Rupf, A. Lehmann, M. Hannig, B. Schäfer, A. Schubert, U. Feldmann, A. Schindler,
Killing of adherent oral microbes by a non-thermal atmospheric plasma jet
Journal of Medical Microbiology 59 (2010) 206-212
K. Schmid, A. Manhard, Ch. Linsmeier, A. Wiltner, T. Schwarz-Seliger, W. Jacob, S. Mändl,
Interaction of Nitrogen Plasmas with Tungsten
NUCL FUSION 50 (2010) 025006 (8pp)
G. Merényi, J. Lind, S. Naumov, C. von Sonntag,
The reaction of ozone with the hydroxide ion. Mechanistic considerations based on thermokinetic and quantum-chemical calculations. The role of HO4 in superoxide dismutation.
Chem. Eur. J. 10 (2010) 1372-1377
H. Khalil, H.-J. Gläsel, L. Wennrich, A. Prager, M. R. Buchmeiser,
Dihydroxyaluminum Carbocylate Nanoparticles with narrow size Distribution: Synthesis, Characterization and use for high Optical Transparency Protective Polymeric Coatings
Macromol. Mater. Eng. 295 (2010) 170-177
M. R. Buchmeiser, R. Bandari, A. Prager-Duschke, A. Löber, W. Knolle ,
Polymeric Monolithic Media: Synthesis, Pore Size Selective Functionalization and Applications
Macromol. Symp. 287 (2010) 107-110
C. Vree, S. G. Mayr,
Dynamics and diffusive conformational coupling in polymer bulk samples and surfaces: a molecular dynamics study
New J. Phys 12 (2010) 023001
S. Macko, F. Frost, B. Ziberi, D. Förster, Th. Michely,
Is keV ion induced pattern formation on Si(001) caused by metal impurities?
Nanotechnology 21 (2010) 085301
M. Häberlen, J.W. Gerlach, B. Murphy, J.K.N. Lindner, B. Stritzker,
Structural characterization of cubic and hexagonal GaN thin films grown by IBAMBE on SiC/Si
J. Cryst. Growth 312 (2010) 762-769
R. Nagar, C. Patzig, B. Rauschenbach, B.R. Metha, J.P. Singh,
Mechanical characteristics of Silicon nanostructures using force distance spectroscopy
J. Nanosci. Nanotechnol. 10 (2010) 2994-3000
G. M. Pawar, M. R. Buchmeiser,
Polymer-Supported, Carbon Dioxide-Protected N-Heterocyclic Carbenes: Synthesis and Application in Organo- and Organometallic Catalysis
Adv. Synth. Catal. 352 (2010) 917-928
A. Schulze, B. Marquardt, S. Kaczmarek, R. Schubert, A. Prager, M. R. Buchmeiser,
Electron Beam-Based Functionalization of Poly(ethersulfone) Membranes
Macromol. Rapid Comm. 31 (2010) 467-472
S. Naumov, and C. von Sonntag,
Quantum Chemical Studies on the Formation of Ozone Adducts to Aromatic Compounds in Aqueous Solution.
OZONE-SCI ENG 32 (2010) 61-65
W. Knolle, L. Wennrich, S. Naumov, K. Czihal, L. Prager, D. Decker, M. R. Buchmeiser,
222 nm Photo-Induced Radical Reactions in Silazanes. A combined Laser Photolysis, EPR, GC-MS and QC Study.
Phys. Chem. Chem. Phys. 12 (2010) 2380-2391
T. Scherzer, G. Mirschel, K. Heymann, M. R. Buchmeiser,
Continuous Monitoring of Process Parameters in UV Curing Processes
RadTech Report 24(2) (2010) 40-48
R. Bandari, T. Höche, A. Prager, K. Dirnberger, M. R. Buchmeiser,
Ring-Opening Metathesis Polymerization-Based Pore Size-Selective Functionalization of Glycidyl Methacrylate-Based Monolithic Media: Access to Size-Stable Nanoparticles for Ligand-Free Metal Catalysis
Chem. Eur. J. 16 (2010) 4650-4658
S.H. Lubbad, M. R. Buchmeiser,
Fast separation of low molecular weight analytes on structurally optimized polymeric capillary monoliths
J. Chromatogr. A 1217 (2010) 3223-3230
K. Heymann, G. Mirschel, T. Scherzer,
Monitoring of the Thickness of Ultraviolet-Cured Pigmented Coatings and Printed Layers by Near-Infrared Spectroscopy
Appl. Spectrosc. 64 (2010) 419-424
G. Merenyi, J. Lind, S. Naumov, C. von Sonntag,
Reaction of Ozone with Hydrogen Peroxide (Peroxone Process): A Revision of Current Mechanistic Concepts based on Thermokinetic and Quantum-Chemical Considerations
Environ. Sci. Technol. 44 (2010) 3505-3507
M. Mäder, S. Perlt, Th. Höche, H. Hilmer, M. Grundmann, B. Rauschenbach,
Gold nanostructure matrices by diffraction mask-projection laser ablation: extension to previously inaccessible substrates
Nanotechnology 21 (2010) 175304
D. Hiller, R. Zierold, J. Bachmann, M. Alexe, Y. Yang, J.W. Gerlach, A. Stesmans, M. Jivanescu, U. Müller, J. Vogt, H. Hilmer, P. Löper, M. Künle, F. Munnik, K. Nielsch, M. Zacharias,
Low temperature silicon dioxide by thermal atomic layer deposition: Investigation of materials properties
J. Appl. Phys. 107 (2010) 064314
Th. Arnold, G. Boehm, R. Fechner, J. Meister, A. Nickel, F. Frost, T. Haensel, A. Schindler,
Ultra-precision surface finishing by ion beam and plasma jet techniques status and outlook
Nucl. Instrum. Meth. A 616 (2010) 147-156
C. Bundesmann, M. Tartz, F. Scholze, H. J. Leiter, F. Scortecci, R. Y. Gnizdor, H. Neumann,
An advanced in situ diagnostic system for characterization of electric propulsion thrusters and ion beam sources
Rev. Sci. Instrum. 81 (2010) 046106
B. Rauschenbach, C. Patzig,
Dünne Schichten durch Deposition unter streifenden Einfall
Vakuum in Forschung und Praxis 22 (2010) 14-19
H. Neumann, F. Scholze, M. Tartz, H. Leiter,
A cathodeless radio frequency plasma bridge neutralizer and results of the first coupled test with the RIT 22 ion thruster
Paper Nr. SP2010_1853118, Space Propulsion 2010, San Sebastian, Spain, 03.-06.05. (2010)
F. Scholze, M. Tartz, H. Neumann,
Modelling of a Radio Frequency Plasma Bridge Neutraliser
Space Propulsion 2010, San Sebastian, 03.-06.05. (2010)
C. Patzig, A. Miessler, T. Karabacak, B. Rauschenbach,
Arbitrarily shaped Si nanostructures by glancing angle ion beam deposition
Phys. Status Solidi B 247 (2010) 1310-1321
C. Patzig, C. Khare, B. Fuhrmann, B. Rauschenbach,
periodically arranged Si nanostructures by glancing angle deposition on patterend substrates
Phys. Status Solidi B 247 (2010) 1322-1334
M. Mäder, Th. Höche, J.W. Gerlach, R. Böhme, B. Rauschenbach,
Nanostructures by diffraction mask projection laser ablation
Phys. Status Solidi B 247 (2010) 1372-1383
Zh. Shen, Y. Xiong, Th. Höche, D. Salamon, Zh. Fu, L. Belova,
Ordered Coalescence of Nanocrystals: a Path to Strong Macroporous Nanoceramics
Nanotechnology 21 (2010) 205602
Th. Höche,
Crystallization in Glass - Elucidating a Realm of Diversity by Transmission Electron Microscopy
J. Mater. Sci. 45 (2010) 3683-3696
O. Albrecht, R. Zierold, C. Patzig, J. Bachmann, C. Sturm, B. Rheinländer, M. Grundmann, D. Görlitz, B. Rauschenbach, K. Nielsch,
Tubular magnetic nanostructures based on glancing angle deposited templates and atomic layer deposition
Phys. Status Solidi B 247 (2010) 1365-1371
F.M. El-Hossary, N.Z. Negm, S.M. Khalil, A.M. Abed El-Rahman, M. Raaif, S. Mändl,
Effect of annealing temperature on hardness, thickness and phase structure of carbonitrided 304 stainless steel
Appl. Phys. A 99 (2010) 489-495
D. Manova, J. Lutz, S. Mändl,
Sputtering Effects During Plasma Immersion Ion Implantation of Metals
Surf. Coat. Tech. 204 (2010) 2875-2880
D. Manova, J.W. Gerlach, F. Scholze, S. Mändl, H. Neumann,
Nitriding of austenitic stainless steel by pulsed low energy ion implantation
Surf. Coat. Tech. 204 (2010) 2919-2922
J. Lutz, S. Mändl,
Reduced Tribocorrosion of CoCr Alloys in Simulated Body Fluid after Nitrogen PIII
Surf. Coat. Tech. 204 (2010) 3043-3046
J.A. García, C. Díaz, S. Mändl, J. Lutz, R. Martínez, R.J. Rodríguez,
Tribological Improvements of Plasma Immersion Implanted CoCr Alloys
Surf. Coat. Tech. 204 (2010) 2932
E. Kesters, Q. T. Le, M. Lux, L. Prager, G. Vereecke,
Removal of post-etch 193 nm photoresist in porous low-k dielectric patterning using UV irradiation and ozonated water
Microelectr. Eng. 87 (2010) 1674-1679
R. Nagar, B.R. Metha,J.P. Singh, C. Patzig, B. Rauschenbach, D. Kanjilal,
Enhancement of stiffness of vertically standing Si nanosprings by energetic ions
J. Appl. Phys. 107 (2010) 094315
T. Tobien, M. Bonifacic, S. Naumov, K.-D. Asmus,
Time-resolved study on the reactions of organic selenides with hydroxyl and oxide radicals, hydrated electrons, and H-atoms in aqueous solution and DFT calculations of transients in comparison with sulfur analogues
Phys. Chem. Chem. Phys. 12 (2010) 6750-6758
O. Brede, S. Naumov,
Femtosecond Events in Bimolecular Free Electron Transfer
World Sci. Books (2010) 411-431
D. Wang, U. Decker, C. Kühnel, M. R. Buchmeiser,
Latent Ruthenium (II)-based Photocatalysts for Ring-opening Metathtesis Polymerization
Polym. Preprints 51 (2010) 384-385
C. Khare, C. Patzig, J.W. Gerlach, B. Rauschenbach, B. Fuhrmann,
Influence of substrate temperature on glancing angle deposited Ag nanorods
J. Vac. Sci. Technol. A 28(4) (2010) 1002-1009
Th. Arnold, G. Böhm,
Plasma Jet Machining - Fertigung von off-axis Parabolspiegeln aus Siliziumkarbid
Paper Nr. A4, 111. Jahrestagung der DGaO, Wetzlar, 25.-29.05 (2010)
G.P.H. Gubbels, C. van Drunen, G. Böhm, Th. Arnold, F. Kamphues, W.L.M. Gielesen,
Fabrication of strongly curved aspheric silicon carbide mirrors
Paper Nr. O5B.1, euspen 10th International Conference, Delft, 31.05.-04.06. (2010)
D. Manova, J.W. Gerlach, S. Mändl,
Thin Film Deposition Using Energetic Ions
Materials 3 (2010) 4141
P.-E. Millard, L. Barner, J. Reinhardt, M. R. Buchmeiser, C. Barner-Kowollik, A. H.E. Müller ,
Synthesis of water-soluble homo-and block-copolymeres by RAFT polymerization under g-irradiation in aqueous media
Polym. J. 51 (2010) 4319-4328
A. Gjevori, K. Nonnenmacher, D. Manova, B. Ziberi, D. Hirsch, J. Gerlach, S. Mändl,
Phase Formation of Photocatalytically Active TiO2 Thin Films By MPIIID
Proc. IVth International Meeting of the Institute Alb-Science, AKTET-Journal of Institute Alb-Science, International Annual Meetings, Vol. III Nr. 2, ISSN 2073-2244, Tetovo, 30.8-2.9. (2010) 157-161
S. Reichelt, C. Elsner, W. Knolle, A. Prager, K. Umnus, J. Kuballa, M. Buchmeiser,
Electron beam derived polymeric monoliths for affinity separation
Polymer Materials, Halle, 15.-17.09. (2010) 46
G. Mirschel, K. Heymann, T. Scherzer,
Simultaneous In-Line Monitoring of the Conversion and the Coating Thickness in UV-Cured Acrylate Coatings by Near-Infrared Reflection Spectroscopy
Anal Chem 82 (2010) 8088-8094
O. Brede, S. Naumov ,
Free electron transfer - relations between molecule dynamics and reaction kinetics
CHEM SOC REV 39 (2010) 3057-3071
P. Gaikwad, K. I. Priyadarsini, S. Naumov and B. S. M. Rao,
Radiation and Quantum Chemical Studies of Chalcone Derivatives
J. Phys. Chem. 114 (2010) 7877-7885
F. Bauer, U. Decker, S. Naumov, C. Riedel,
UV curing and matting of acrylate nanocomposite coatings by 172 nm excimer irradiation, Part 2
PROG ORG COAT 69 (2010) 287-293
J.P. Pocostales, M.M. Sein, W. Knolle, T.C. Schmidt, C. von Sonntag,
Degradation of Ozone-Refractory Organic Phosphates in Wastewater by Ozone and Ozone/Hydrogen Peroxide (Peroxone): The Role of Ozone Consumption by Dissolved Organic Matter
Environ. Sci. Technol. 44 (2010) 8248-8253
A. Lejars, D. Manova, S. Mändl, D. Duday, T. Wirtz,
Simulated Plasma Immersion Ion Implantation Processing of Thin Wires
J. Appl. Phys. 108 (2010) 063308
S. Naumov, G. Mark, A. Jarocki, C. von Sonntag,
The Reactions of Nitrite Ion with Ozone in Aqueous Solution - New Experimental Data and Quantum-Chemical Considerations
Ozone-Sci Eng 32 (2010) 430-434
M. Beyer, R. Flyunt, F. Weichelt, R. Emmler,
Witterungsbeständige Beschichtungen von Holz und WPC auf der Basis von lösemittelarmen transparenten Acrylat-Silica-ZnO-Nanokompositen
Holztechnologie 6 (2010) 18-22
O. Brede, S. Naumov,
Reactivity of radical cations in nonpolar condensed matter
In Charged Particle and Photon Interactions with Matter -Recent Advances, Applications, and Interfaces. (Taylor & Francis) 10 (2010) 237-264
F. Weichelt, S. Lenz, S. Tiede, I. Reinhardt, B. Frerich, M. R. Buchmeiser, Beilstein ,
ROMP-Derived Cyclooctene-Based Monolithic Polymeric Materials Reinforced with Inorganic Nanoparticles for Applications in Tissue Engineering
J. Org. Chem. 6 (2010) 1199-1205
F. Weichelt, B. Frerich, S. Lenz, S. Tiede, M. R. Buchmeiser,
Ring-Opening Metathesis Polymerization-Based Synthesis of CaC03 Nanoparticle-Reinforced Polymeric Monoliths for Tissue Engineering
Macromol. Rapid Comm. 31 (2010) 1540-1545
G.M. Pawar, J. Weckesser, S. Blechert, M. R. Buchmeiser, Beilstein,
Ring-Opening Metathesis Polymerization-Derived Block Copolymers Bearing Chelating Ligands: Synthesis, Metal Immobilization and Use in Hydroformylation under Micellar Conditions
J. Org. Chem. 6 (2010) -
A. Sobottka, L. Drößler, M. Lenk, L. Prager, M. R. Buchmeiser,
An Open Argon Dielectric Barrier Discharge VUV-Source
Plasma Process. Polym. 7 (2010) 650-656
A. Löber, B. Scheibitz, B. Frerich, M. R. Buchmeiser,
Ring-Opening Metathesis Polymerization-Derived Monolithic Materials: Novel Syntheses and Applications
Macromol. Symp. 293 (2010) 48-52
D. Hiller, S. Götze, F. Munnik, M. Jivanescu, J.W. Gerlach, J. Vogt, E. Pippel, N. Zakharov, A. Stesmans, M. Zacharias,
Nitrogen at the Si-nanocrystal/SiO2 interface and its influence on luminescence and interface defects
Phys. Rev. B 82 (2010) 195401
S. Mändl,
Ionenbeschuss von Polymeren für die Medizintechnik
Vakuum in Forschung und Praxis 22 (2010) 36-40
M. Ehrhardt, K. Zimmer, G. Raciukaitis, P. Gecys,
Laser-induced backside wet etching of fluoride and sapphire using picosecond laser pulses
Appl. Phys. A 101 (2010) 399-404
M. Ehrhardt, G. Raciukaitis, P. Gecys, K. Zimmer,
Microstructuring of fused silica by laser-induced backside wet etching using picosecond laser pulses
Appl. Surf. Sci. 256 (2010) 7222-7227
P. Gecys, G. Raciukaitis, M. Ehrhardt, K. Zimmer ,
ps-laser scribing of CIGS films at different wavelengths
Appl. Phys. A 101 (2010) 373-378
C. Vree, S.G. Mayr,
Dynamics and diffusive conformational coupling in polymer bulk samples and surfaces: a molecular dynamics study
New J. Phys 12 (2010) 023001
I. Kock, S. Hamann, H. Bruken, T. Edler, S.G. Mayr, A. Ludwig,
Development and characterization of Fe70Pd30 ferromagnetic shape memory splats
Intermetallics 18 (2010) 877
S. Hamann, M.E. Gruner, S. Irsen, J. Buschbeck, C. Bechtold, I. Kock, S.G. Mayr, A. Savan, S. Thienhaus, E. Quandt, S. Fähler, P. Entel, A. Ludwig,
The ferromagnetic shape memory system Fe Pd Cu
Acta Materialia 58 (2010) 5949
T. Edler, S. G. Mayr,
Film Lift Off from MgO: Freestanding Single Crystalline Fe Pd Films Suitable for Magnetic Shape Memory Actuation and Beyond
Advanced Materials 22 (2010) 4969
Y. Ma, M. Zink, S. G. Mayr,
Biocompatibility of single crystalline Fe70Pd30 ferromagnetic shape memory films
Appl. Phys. Lett. 96 (2010) 213703
C. Elsner,
Plasma-induzierte Polymerisation von Acrylaten
Vakuum in Forschung und Praxis 22(4) (2010) 6-9
T. Scherzer, G. Mirschel, K. Heymann, L. Prager,
In-line Monitoring of the Thickness of Silica and Silazane Layers in the Submicron Range by NIR Reflection Spectroscopy
Near Infrared Spectroscopy: Proceedings of the 14th International Conference, ed. by S. Saranwong, S. Kasemsumran, W. Thanapase, P. Williams, IM Publications, Chichester (2010) 803-807
G. Mirschel, K. Heymann, T. Scherzer,
Simultaneous Measurement of Coating Thickness and Conversion of UV-Cured Acrylate Coatings by In-line NIR Spectroscopy
Near Infrared Spectroscopy: Proceedings of the 14th International Conference, ed. by S. Saranwong, S. Kasemsumran, W. Thanapase, P. Williams, IM Publications, Chichester (2010) 1157-1159
T. Scherzer, M.W. Schröder,
Monitoring of the Development of Viscoeleastic Parameters and Conversion during UV Curing of Acrylate Formulations by Hyphenated Photorheometry and NIR Spectroscopy
Near Infrared Spectroscopy: Proceedings of the 14th International Conference, ed. by S. Saranwong, S. Kasemsumran, W. Thanapase, P. Williams, IM Publications, Chichester (2010) 1161-1165
A. Schulze, B. Marquardt, S. Kaczmarek, R. Schubert, A. Prager, M.R. Buchmeiser,
Electron Beam-Based Functionalization of Polymer Membranes
Paper Nr. 106, IWA-MTWR 2010, Istanbul, Turkey, 18.-22.10. (2010) 218
A. Schulze, B. Marquardt, S. Kaczmarek, R. Schubert, A. Prager, M.R. Buchmeiser,
Electron Beam-Based Functionalization of Polymer Membranes
Paper Nr. 166, AMS6/IMSTEC10, Sydney, Australia, 22.-26.11. (2010)
Th. Arnold, G. Boehm, I.-M. Eichentopf, M. Janietz, J. Meister, A. Schindler,
Plasma Jet Machining- A novel technology for precision machining of optical elements
Vakuum in Forschung und Praxis 22 (2010) 10
P. Siffalovic, K. Vegso, M. Jergel, E. Majkova, J. Keckes, G. A. Maier, M. Cornejo, B. Ziberi, F. Frost, B. Hase, J. Wiesmann,
Measurement of nanopatterned surfaces by real and reciprocal space techniques
Meas. Sci. Rev 10 (2010) 153-156
A. Jenichen, C. Engler,
Stability and band gaps of InGaP, BGaP, and BInGaP alloys: Density-functional supercell calculations
Phys. Status Solidi B 247 (2010) 59-66
J. Bauer, M. Weise, Ch. Grüner, Ch. Khare, B. Rauschenbach, N. Geyer, B. Fuhrmann,
Glanzwinkeldeposition von geordneten Si/Ge-Nanostrukturen
Jahresbericht IZM/MLU Halle (2010) 31
2009
K. Zimmer,
Analytical solution of the laser-induced temperature distribution across internal material interfaces
Int. J. Heat Mass Tran. 52 (2009) 497-503
C. Patzig, B. Fuhrmann, H.S. Leipner, B. Rauschenbach,
Si nanocolumns on nanosphere lithography templated substrates: effect of sphere size and substrate temperature
J. Nanosci. Nanotechnol. 9 (2009) 1985-1991
L. Prager, L. Wennrich, R. Heller, W. Knolle, S. Naumov, A. Prager, D. Decker, H. Liebe, M. R. Buchmeiser ,
Vacuum-UV Irradiation-Based Formation of Methyl-Si-O-Si Networks from Poly(1,1-Dimethylsilazane-co-1-methylsilazane)
Chem. Eur. J. 15 (2009) 675-683
D. Manova, A. Gjevori, F. Haberkorn, J. Lutz, S. Dimitrov, J. W. Gerlach, E. Valcheva, S. Mändl,
Formation of photocatalytic active TiO2 thin films by plasma based ion implantation and deposition
Phys. Status Solidi A 206 (2009) 71-77
B. Hopp, T. Smausz, C. Vass, G. Szabó, R. Böhme, D. Hirsch, K. Zimmer,
Laser-induced backside dry and wet etching of transparent materials using solid and molten tin as absorbers
Appl. Phys. A 94 (2009) 899-904
M. R. Buchmeiser,
Ring-Opening Metathesis Polymerization - In Handbook of Ring-Opening Polymerization
Wiley-VCH, Weinheim (2009) 197-225
M. R. Buchmeiser,
Polymer-Supported Well-Defined Metathesis Catalysts
Chem. Rev. 109 (2009) 303-321
P. S. Kumar, K. Wurst, M. R. Buchmeiser,
Factors Relevant for the Regioselective Cyclopolymerization of 1,6-Heptadiynes, N,N-Dipropargylamines, N,N-Dipropargylammonium Salts, and Dipropargyl Ethers by RuIV - Alkylidene-Based Metathesis Initiators
J. Am. Chem. Soc. 131 (2009) 387-395
T. Scherzer, G. Mirschel, K. Heymann, L. Prager, M. R. Buchmeiser,
Determination of the Thickness of Silazane-Based SiOx Coatings in the Submicrometer Range by Near-Infrared Reflection Spectroscopy
Appl. Spectrosc. 63 (2009) 239-245
F. Bauer, U. Decker, K. Czihal, R. Mehnert, C. Riedel, M. Riemschneider, R. Schubert, M. R. Buchmeiser,
UV curing and matting of acrylate nanocomposite coatings by 172 nm excimer irradiation
Progr. Org. Coat. 64 (2009) 474-481
M. Jensen, R. Keding, Th. Höche, Y. Yue,
Biological formation of mesoporous amorphous silica
J. Am. Chem. Soc. 131 (2009) 2717-2721
I. Abdulhalim, A. Karabchevsky, C. Patzig, B. Rauschenbach, B. Fuhrmann, E. Eltzov, R. Marks, J. Xu, F. Zhang, A. Lakhtakia,
Surface-enhanced flourescence from metal sculptured thin films with application to biosensing in water
Appl. Phys. Lett. 94 (2009) 063106
S. Bhattacharyya, Th. Höche, K. Hahn, P.A. van Aken,
Various transmission electron microscopic techniques to characterize phase separation in inorganic glasses
J NON-CRYST SOLIDS 355 (2009) 393-396
R. Schubert, T. Scherzer, M. Hinkefuß, B. Marquardt, J. Vogel, M. R. Buchmeiser,
VUV-induced micro-folding of acrylate-based coatings. 1. Real-time methods for the determination of the micro-folding kinetics
Surf. Coat. Tech. 203 (2009) 1844-1849
B. Schlemmer, R. Bandari, L. Rosenkranz, M. R. Buchmeiser,
Electron beam triggered, free radical polymerization-derived monolithic capillary columns for high-performance liquid chromatography
J. Chromatogr. A 1216 (2009) 2664-2670
C. Gatschelhofer, A. Mautner, F. Reiter, T. R. Pieber, M. R. Buchmeiser, F. M. Sinner,
Ring-opening metathesis polymerization for the preparation of norbornene-based weak cation-exchange monolithic capillary columns
J. Chromatogr. A 1216 (2009) 2651-2657
B. Bantu, G. M. Pawar, U. Decker, K. Wurst, A. M. Schmidt, M. R. Buchmeiser,
CO2 and SnII Adducts of N-Heterocyclic Carbenes as Delayed-Action Catalysts for Polyurethane Synthesis
Chem. Eur. J. 15 (2009) 3103-3109
P. S. Kumar, K. Wurst, M. R. Buchmeiser,
Ru-Alkylidene Metathesis Catalysts Based on 1,3-Dimesityl-4,5,6,7-tetrahydro-1,3-diazepin-2-ylidenes: Synthesis, Structure, and Activity
Organometallics 28 (2009) 1785-1790
W. J. Cooper, C. J. Cramer, N. H. Martin, S. P. Mezyk, K. E. O´Shea, C. von Sonntag,
Free Radical Mechanisms for the Treatment of Methyl tert-Butyl Ether (MTBE) via Advanced Oxidation/Reductive Processes in Aqueous Solutions
Chem. Rev. 109 (2009) 1302-1345
A. Boulares-Pender, A. Prager, C. Elsner, M. R. Buchmeiser,
Surface-functionalization of plasma-treated polystyrene by hyperbranched polymers and use in biological applications
J. Appl. Polym. Sci. 112 (2009) 2701-2709
M. Finell, M. Arshadi, R. Gref, T. Scherzer, W. Knolle, T. Lestander,
Laboratory scale production of biofuel pellets from electron beam treated Scots pine (Pinus silvestris L) sawdust
Radiat. Phys. Chem. 78 (2009) 281-287
M. R. Buchmeiser,
Monolithic biocompatible and biodegradable scaffolds for tissue engineering
J. Polym. Sci. Polym. Chem. 47 (2009) 2219-2227
G. Mirschel, K. Heymann, T. Scherzer, M. R. Buchmeiser,
Effect of changes of the coating thickness on the in-line monitoring of the conversion of photopolymerized acrylate coatings by near-infrared reflection spectroscopy
Polymer 50 (2009) 1895-1900
M. R. Buchmeiser, R. Schubert, C. Elsner, A. Pender, D. Wang,
(V)UV-and Plasma-based Structuring and Functionalization of Polymeric Surfaces
ISBN: 978-3-00-026368-2, 21. Stuttgarter Kunststoff-Kolloquium (H.-G. Fritz, C.D. Eisenbach, Eds), Stuttgart, 05.03. (2009)
S. Rummel, M. A. Ilatovskaya, S. M. Yunusov, E. S. Kalyuzhnaya, V. B. Shur,
Activation of C-H bonds of hydrocarbons by the ArH-alkali metal systems in THF (ArH-naphthalene, biphenyl, anthracene, phenanthrene, trans-stilbene, pyrene). Alkylation of naphthalene and toluene with ethene
J. Organomet. Chem. 694 (2009) 1459-1466
B. Bantu, G. M. Pawar, K. Wurst, U. Decker, A. M. Schmidt, M. R. Buchmeiser,
CO2, Magnesium, and Zinc Adducts of N-Heterocyclic Carbenes as (Latent) Catalysts for Polyurethane Synthesis
Eur. J. Inorg. Chem. (2009) 1970-1976
N. C. Imlinger, M. Krell, M. R. Buchmeiser,
Weighted Target Testing (WTT) - An improved principle for modeling reaction kinetics
Chemometr. Intell. Lab. 96 (2009) 123-131
Q. T. Le, E. Kesters, L. Prager, M. Claes, M. Lux, G. Vereecke,
Modification of photoresist by UV for post-etch wet strip applications
Solid State Phenomena 145-146 (2009) 323-326
S. Rummel, S. M. Yunusov, E. S. Klyuzhnaya, V. B. Shur,
Synergistic effects of alkali metals in the alkylation of naphthalene and toluene with ethene in the ArH-alkali metal systems in THF (ArH - naphthalene, phenanthrene)
J. Organomet. Chem. 694 (2009) 1467-1472
B. Scheibitz, A. Prager, M. R. Buchmeiser,
Schrock Catalyst Triggered, Ring-Opening Metathesis Polymerization Based Synthesis of Functional Monolithic Materials
Macromolecules 42 (2009) 3493-3499
M. Beyer, R. Emmler, R. Flyunt, J. v. Sonntag ,
Weathering protection of wood used in outdoor conditions by low-solvent acrylic nano-composites
Decor Surface Conference, Barcelona, 1.-3.4. (2009)
I. Zizak, N. Darowski, S. Klaumünzer, G. Schumacher, J.W. Gerlach, W. Assmann,
Grain rotation in nanocrystalline layers under influence of swift heavy ions
Nucl. Instrum. Meth. B 267 (2009) 944-948
D.J. As, E. Tschumak, H. Pöttgen, O. Kasdorf, J.W. Gerlach, H. Karl, K. Lischka,
Carbon doping of non-polar cubic GaN by CBr4
J. Cryst. Growth 311 (2009) 2039-2041
D. Manova, D. Hirsch, S. Mändl, H. Neumann,
Microstructure of Ti Thin Films Formed by Energetic PVD Processes
Nucl. Instrum. Meth. B 267 (2009) 1680-1683
A. Gjevori, K. Nonnenmacher, B. Ziberi, D. Hirsch, J.W. Gerlach, T. Höche, D. Manova, S. Mändl,
Investigation of Nucleation and Phase Formation of Photocatalytically Active TiO2 Films by MePIII&D
Nucl. Instrum. Meth. B 267 (2009) 1658-1661
J. Lutz, J.W. Gerlach, J.K.N. Lindner, W. Assmann, S. Mändl,
Radiation Suppressed Oxide Growth in the System Ni-Ti-O
Nucl. Instrum. Meth. B 267 (2009) 1634-1637
C. Diaz, J. Lutz, S. Mändl, J.A. García, R. Martínez, R.J. Rodríguez,
Improved tribo-corrosion of biomedical alloys by Ion implantation techniques
Nucl. Instrum. Meth. B 267 (2009) 1630-1633
D. Manova, T. Höche, S. Mändl, H. Neumann,
Development of CrN Precipitates during the Initial Stages of PIII Nitriding of Austenitic Stainless Steel
Nucl. Instrum. Meth. B 267 (2009) 1536-1539
J. Lutz, S. Mändl,
Effect of Ion Energy on Layer Growth Processes During Nitriding of CoCr Alloys
Nucl. Instrum. Meth. B 267 (2009) 1522-1525
X. Ou, R. Kögler, W. Skorupa, W. Möller, X. Wang, J.W. Gerlach,
Gettering layer for oxygen accumulation in the initial stage of SIMOX processing
Nucl. Instrum. Meth. B 267 (2009) 1273
W. Möller, B. Rauschenbach,
Proceed. 16th International Conference on Ion Beam Modification of Materials, Dresden, 31 August - 5 September 2008
Nucl. Instrum. Meth. B 267 (2009) 1217-1760
Th. Gischkat, F. Schrempel, Th. Höche, W. Wesch,
Annealing behavior of lithium niobate irradiated with He-ions at 100 K
Nucl. Instrum. Meth. B 267 (2009) 1492
F. Schrempel, Th. Gischkat, H. Hartung, Th. Höche, E.B. Kley, A. Tünnermann, W. Wesch,
Ultra-thin membranes in x-cut lithium niobate
OPT LETT 34 (2009) 1426-1428
F. Frost, R. Fechner, B. Ziberi, J. Völlner, D. Flamm, A. Schindler,
Large area smoothing of surfaces by ion bombardment: fundamentals and applications
J. Phys.: Condens. Matter 21 (2009) 224026
D. Carbone, A. Biermanns, B. Ziberi, F. Frost, O. Plantevin, U. Pietsch, T. H. Metzger,
Ion-induced nanopatterns on semiconductor surfaces investigated by grazing incidence x-ray scattering techniques
J. Phys.: Condens. Matter 21 (2009) 224007
B. Ziberi, M. Cornejo, F. Frost, B. Rauschenbach,
Highly ordered nanopatterns on Ge and Si surfaces by ion beam sputtering
J. Phys.: Condens. Matter 21 (2009) 224003
J. Lutz, J.W. Gerlach, S. Mändl, B. Rauschenbach, J.K.N. Lindner, W. Assmann,
Radiation Modified Oxide Growth in the System Ni-Ti-O
Annual Report Maier-Leibnitz-Laboratorium für Kern- und Teilchenphysik der Ludwig-Maximilians-Universität München und der Technischen Universität München (MLL) 2008 (2009) 80
D. Manova, J.W. Gerlach, S. Mändl, H. Neumann, W. Assmann,
Microstructure of Ti Thin Films Formed by Energetic PVD Processes
Annual Report Maier-Leibnitz-Laboratorium für Kern- und Teilchenphysik der Ludwig-Maximilians-Universität München und der Technischen Universität München (MLL) 2008 (2009) 81
C. Patzig, J. W. Gerlach, A. Bergmaier, W. Assmann,
Swift heavy ion irradiation of Si/SiOx multilayered sculptured thin films
Annual Report Maier-Leibnitz-Laboratorium für Kern- und Teilchenphysik der Ludwig-Maximilians-Universität München und der Technischen Universität München (MLL) 2008 (2009)
C. Elsner, A. Boulares-Pender, M. Hähnel, R. Konieczny, C. Kühnel, M. R. Buchmeiser,
Photoinitiator-Free Plasma-Induced polymerization and microstructuring of Acrlyate-based coatings on 3D substrates
Macromol. Mater. Eng. 294 (2009) 422-431
R. Schubert, F. Frost, M. Hinkefuß, R. Konieczny, B. Marquardt, R. Mehnert, M. R. Buchmeiser,
VUV-induced micro-folding of acrylate-based coatings 2. Characterization of surface properties
Surf. Coat. Tech. 203 (2009) 3734-3740
R. Nagar, C. Patzig, B. Rauschenbach, V. Sathe, D. Kanjilal, B. R. Mehta, J. P. Singh ,
Ion beam induced anisotropic deformation of Si nanosprings
J. Phys. D Appl. Phys. 42 (2009) 145404
Th. Arnold, G. Böhm,
Reactive plasma jet machining for free form surface correction
Paper Nr. P6.16, euspen 9th International Conference, San Sebastian, Spanien, 02.-05.06 (2009) Vol. 2,p. 70
J.W. Gerlach, Th. Höche, L. Neumann, B. Rauschenbach,
Ultra-thin titanium nitride film epitaxy with hyperthermal titanium ions
Paper Nr. 406, 9th IEEE International Conference on Nanotechnology, Genua, 26.-30.07. (2009)
S. H. Lubbad, M. R. Buchmeiser,
Highly cross-linked polymeric capillary monoliths for the separation of low, medium, and high molecular weight analytes
J.Sep.Sci. 32 (2009) 2521-2529
P. S. Kumar, K. Wurst, M. R. Buchmeiser,
Isocyanate- and Isothiocyanate-Derived RuIV-Based Alkylidenes: Synthesis, Structure, and Activity
Chem. Asian J. 4 (2009) 1275-1283
S. Bhattacharyya, C. Bocker, T. Heil, J.R. Jinschek, Th. Höche, C. Rüssel, H. Kohl,
Experimental Evidence of Self-Limited Growth of Nano crystals in Glass
Nano Letters 9 (2009) 2493-2496
R.B. Yang, J. Bachmann, M. Reiche, J.W. Gerlach, U. Gösele, K. Nielsch,
Atomic layer deposition of antimony oxide and antimony sulfide
Chem. Mater. 21 (2009) 2586-2588
R. Nagar, C. Patzig, B. Rauschenbach, V. Sathe, D. Kanjilal, B.R. Metha, J.P. Singh,
Ion beam induced anisotropic deformation of Si nanosprings
J. Phys. D Appl. Phys. 42 (2009) 145404
S. Bhattacharyya, Th. Höche, N. Hémono, M.J. Pascual, P.A. van Aken,
Nanocrystallization in LaF3 - Na2O - Al2O3 - SiO2 Glass
J. Cryst. Growth 311 (2009) 4350-4355
S. Mollenbeck, N. Bogdanski, H.C. Scheer, J. Zajadacz, K. Zimmer,
Moulding of arrowhead structures
Macromol. Chem. Phys. 86 (2009) 608-610
C. Patzig, J. Zajadacz, K. Zimmer, R. Fechner, C. Khare, B. Rauschenbach,
Patterning concept for sculptured nanostructures with arbitrary periods
Appl. Phys. Lett. 95 (2009) 103107
M. Lichtenheldt, D. Wang, K. Vehlow, I. Reinhardt, C. Kühnel, U. Decker, S. Blechert, M. R. Buchmeiser,
Alternation Ring-Opening Metathesis Copolymerization by Grubbs-Type Initiators with Unsymmetrical N-Heterocyclic Carbenes
Chem. Eur. J. 15 (2009) 9451-9457
S. Mändl,
Increased Biocompatibility and Bioactivity of Surfaces after Energetic PVD Surface Treatments
30th Brazilian Congress on Vacuum with Applications in Industry and Science, Campos de Jordao, Brasilien, 14. - 17.09. (2009) 258.1-258.10
S. Mändl,
Increased Biocompatibility and Bioactivity after Energetic PVD Surface Treatments
Materials 2 (2009) 1341-1387
M. R. Buchmeiser, (E. Khosravi, Y. Yagci, Y. Savelyev eds),
Novel cyclopolymerization derived conjugated polyenes: Smart materials for electronics and sensors
New Smart Materials, NATO Sci. Peace Security Ser. A: Chem. Biol. (2009) 303-317
M. R. Buchmeiser, (E. Khosravi, Y. Yagci, Y. Savelyev eds),
Polymeric monoliths: Novel material for separation science, heterogeneous catalysis and regenerative medicine
New Smart Materials, NATO Sci. Peace Security Ser. A: Chem. Biol. (2009) 249-262
A. S. Shaplov, E.I. Lozinskaya, L. Goujon, F. Vidal, D. Teyssié, I.A. Malyshkina, M. R. Buchmeiser, Ya. S. Vygodskii,
From succesful synthesis of polymers in Ionic Liquids to the preparation of highly conductive polymer materials for barreries application
Europolymer Congress 2009, Graz, Austria, 12.-17.07. (2009) 187
M. Tartz, T. Heyn, C. Bundesmann, H. Neumann,
Measuring sputter yields of ceramic materials
Paper Nr. IEPC-2009-240, 31st Int. Electric Propulsion Conf., Ann Arbor, MI, USA, 20.-24.9. (2009)
C. Bundesmann, M. Tartz, F. Scholze, H. Leiter, F. Scortecci, D. Feili, P. E. Frigot, J. Gonzales del Amo, H. Neumann,
In-situ temperature, grid curvature, erosion, beam and plasma characterization of a gridded ion thruster RIT-22
Paper Nr. IEPC-2009-160, 31st Int. Electric Propulsion Conf., Ann Arbor, MI, USA, 20.-24.09. (2009)
C. Bundesmann, M. Tartz, F. Scholze, H. Neumann, F. Scortecci, S. Scaranzin, P.-E. Frigot, J. Gonzalez del Amo, R.Y. Gnizdor,
In-situ temperature, erosion, beam and plasma characterization of a SPT-100D EM1 with an advanced electric propulsion diagnostic system
Paper Nr. IEPC-2009-141, 31st Int. Electric Propulsion Conf., Ann Arbor, MI, USA, 20.-24.09. (2009)
G.M. Pawar, B. Bhasker, J. Weckesser, S. Blechert, K. Wurst, M. R. Buchmeiser,
Ring-opening metathesis polymerization-derived, polymer-bound Cu-catalysts for click-chemistry and hydrosilylation reactions under micellar conditions
Dalton Trans. - (2009) 9043-9051
C. Elsner, S. Naumov, J. Zajadacz, M. R. Buchmeiser,
172 nm excimer VUV-triggered photodegradation and micropatterning of aminosilane films
Thin Solid Films 517 (2009) 6772-6776
T. Scherzer, G. Mirschel, K. Heymann, M.R. Buchmeiser,
Continuous Monitoring of Process Parameters in UV Curing Processes
Proc. RadTech Europe 2009 Conference, Nizza, 14.-15.10. (2009)
K. Zimmer, R. Böhme, C. Vass, B. Hopp,
Time-resolved measurements during backside dry etching of fused silica
Appl. Surf. Sci. 255 (2009) 9617-9621
K. Zimmer, R. Böhme, C. Vass, B. Hopp,
Time-resolved measurements during backside dry etching of fused silica
Appl. Surf. Sci. 255 (2009) 9617-9621
K. Heymann, G. Mirschel, T. Scherzer, M.R. Buchmeiser,
In-line Determination of the Thickness of UV-Cured Coatings on Polymer Films by NIR Spectroscopy
Vibr. Spectr. 51 (2009) 152-155
A. Schulze, B. Marquardt, R. Schubert, M. R. Buchmeiser,
A General Method for the Direct Functionalization of Polyethersulfone Membranes with Small Molecules
Euromembrane 2009, Montpellier, 06.-10. (2009) 30
C. Blawert, J. Lutz, A. Prager-Duschke, N. Scharnagl, M. Störmer, D. Manova, S. Mändl,
Different Underlying Corrosion Mechanism for Mg Bulk Alloys and Mg Thin Films
Plasma Process. Polym. 6 (2009) S690-S694
J. Lutz, S. Mändl,
Wear Mechanism, Wear Rate and Contact Pressure in PIII Nitrided CoCr Alloys
Plasma Process. Polym. 6 (2009) S65-S69
J. Lutz, C. Blawert, S. Mändl,
Wear Resistance, Particle Release and Corrosion Behaviour of Surface Treated Medical CoCr Alloys
Regenerative Medicine 4 (2009) S225-226
C. Díaz, J.A. García, S. Mändl, J. Lutz,
Analysis of Wear Particles Released from Surface-Modified CoCr Alloys
Regenerative Medicine 4 (2009) S171
S. Mändl,
Corrosion Properties of Metallic Biomaterials after Energetic Surface Modification
Int. Conf. on Recent Adv. in Electrochem. Sci. and Technol., Mangalore, Indien, 5.-7.11 (2009) 15-24
C. Blawert, D. Manova, S. Mändl, M. Störmer, W. Dietzel,
Novel Corrosion Protection Layers Using Microcrystalline Mg Alloys
Magnesium 2009, Weimar, 26.-29.10. (2009) 1316-1322
D. Todorova, E. Valcheva, V. Donchev, D. Manova, S. Mändl,
Optical properties of AlN/SiO2 nanocomposite layers
Journal of Optoelectronics and Advanced Materials 11 (2009) 1296-1299
T. Scherzer, M.W. Schröder,
Simultaneous Analysis of the UV Curing of Acrylate - Nanoparticle Formulations by Combined Photorheometry and FT-NIR Spectroscopy
Proc. RadTech Europe 2009 Conference, Nizza, 14.-15.10. (2009)
R. Joshi, T. K. Ghanty, S. Naumov, and T. Mukherjee ,
Hydrogen bonding interaction in complexes of hydronium ion with selective chemical species
Chem. Phys. Lett. 74 (2009) 36-40
S. Naumov and C. Schöneich,
Intramolecular addition of cysteine thiyl radical to phenylalanine and tyrosine in model peptides, Phe (CysS) and Tyr(CysS): a computational study
J. Phys. Chem A 113 (2009) 3560-3565
B. Mishra, A. Sharma, S. Naumov, K. I. Priyadarsini,
Novel reactions of selenomethionine radical cations in comparison with methionine
J. Phys. Chem. B 113 (2009) 7709-7715
S. Naumov , M. Bonifacic, R.S. Glass, K.-D. Asmus ,
Theoretical calculations and experimental data on spectral, kinetic and thermodynamic properties of Se\N and S\N three-electron-bonded, structurally stabilized o2o* radicals.
Research on Chemical Intermediates 35 (2009) 479-496
B. Rao, M. Sethu, P. Gaikwad, I. Priyadarsini, S. Naumov,
Oxidation of Tryptamine and 5-Hydroxytryptamine: A Pulse Radiolysis and Quantum Chemical Study
J. Phys. Chem. A 113 (2009) 8249-8257
Y.M. Riyad, S. Naumov, R. Hermann, O. Brede, B. Abel,
Structure dependence of the photogeneration of thionaphthol triplets
Chem. Phys. Lett. 477 (2009) 290-297
E. Mvula, S. Naumov, C. von Sonntag,
Ozonolysis of Lignin Models in Aqueous Solution: Anisole, 1,2-Dimethoxybenzene, 1,4 Dimethoxybenzene and 1,3,5-Trimethoxybenzene Ozonation of Wastewater: Rate of Ozone Consumption and Hydroxyl Radical Yield
Environ. Sci. Technol. 43 (2009) 6275-6282
R. Kögler, C. Dubois, J.W. Gerlach, H. Hutter, A. Mücklich, W. Skorupa,
Trans-Rp gettering and out-diffusion of oxygen implanted into highly B-doped silicon
Solid State Phenom 156-158 (2009) 375-380
S. Rauschenbach, R. Vogelgesang, N. Malinowski, J.W. Gerlach, M. Benyoucef, G. Constantini, Z. Deng, N. Thontasen, K. Kern,
Electrospray ion beam deposition: soft-landing and fragmentation of functional molecules at solid surfaces
ACS NANO 3 (2009) 2901-2910
A. Shalabney, A. Lakhtakia, I. Abdulhalim, A. Lahav, C. Patzig, I. Hazek, A. Karabchevsky, B. Rauschenbach, F. Zhang, J. Xu,
Surface plasmon resonance from metallic columnar thin films
Photonics and Nanostructures Fundamentals and Applications 7 (2009) 176-185
N. Karakostas, S. Naumov, O. Brede,
Free Electron Transfer with Bifunctional Donors: p-Aminotritylsilanes
J. Phys. Chem. 113 (2009) 14087-14094
R. Schubert, F. Bauer, U. Decker, F. Frost, L. Prager, T. Scherzer, M.R. Buchmeiser, R. Mehnert, C. Riedel,
Oberflächenveredlung von Beschichtungen mit strahlenhärtbaren Lacken mittels 172 nm Excimer-Vakuum-UV-Strahlung
Proc. 17. Neues Dresdner Vakuumtechnisches Kolloquium, Dresden, 21.-22.10. (2009)
J.W. Gerlach, C. Patzig, W. Assmann, A. Bergmaier, Th. Höche, J. Zajadacz, R. Fechner, B. Rauschenbach,
Swift heavy ion irradiation induced effects in Si/SiOx multi-layered films and nanostructures
Paper Nr. 1181-DD04-01, MRS Spring Meeting, San Francisco, 13.-17.04. (2009)
M. Cornejo, B. Ziberi, M. Tartz, H. Neumann, F. Frost, B. Rauschenbach,
Importance of internal ion beam parameters on the self-organized pattern formation with low-energy broad beam ion sources
Paper Nr. 1181-DD13-13, MRS Spring Meeting, San Francisco, USA, 13.-17.04. (2009)
J. Völlner, B. Ziberi, F. Frost, B. Rauschenbach,
Low-energy ion beam sputtering of pre-patterned fused silica surfaces
Paper Nr. 1181-DD13-15, MRS Spring Meeting, San Francisco, USA, 13.-17.04. (2009)
J. Meister, G. Böhm, I.-M. Eichentopf, Th. Arnold,
Simulation of the Substrate Temperature Field for Plasma Assisted Chemical Etching
Plasma Process. Polym. 6 (2009) 209-213
I.-M. Eichentopf, G. Böhm, J. Meister, Th. Arnold,
Reactive Plasma Jet High-Rate Etching of SiC
Plasma Process. Polym. 6 (2009) 204-208
C. Bocker, S. Bhattacharyya, Th. Höche, C. Rüssel,
Size Distribution of BaF2 Nano Crystallites in Transparent Glass-Ceramics
ACTA MATER 57 (2009) 5956-5963
S. Camadanli, R. Beck, U. Flörke, H.-F. Klein ,
C-H Activation of Imines by Trimethylphosphine-Supported iron Complexes and Their Reactivities
Organometallics 28(7) (2009) 2300-2310
L Kühnemund, T Edler, I Kock, M Seibt, S G Mayr,
Epitaxial growth and stress relaxation of vapor-deposited Fe Pd magnetic shape memory films
New J. Phys 11 (2009) 113054
S.A.S. Rezai, F. Bauer, U. Decker, Y. Traa ,
Isotopic studies on the dehydroalkylation of toluene with ethane
J. Mol. Catal. 314 (2009) 95-101
Mollenbeck, S. Bogdanski, N. Mayer, A. Scheer, H. C. Zajadacz, J. Zimmer, K.,
Preparation of diamond-shaped channels in SU-8 for optical control of the filling state
J. Vac. Sci. Technol. B 27 (2009) 3078-3081
I. Gurrappa, D. Manova, J.W. Gerlach, S. Mändl, B. Rauschenbach,
Effect of the Nitrogen Plasma Immersion Ion Implantation on High Temperature Oxidation of Titanium Alloy IMI 834
J. Adv. Mater. 41 (2009) 40-51
A. Jenichen, C. Engler,
Boron and indium incorporation in GaP(001) surfaces by vapour deposition: Density-functional supercell calculations of the surface stability
Surf. Sci. 603 (2009) 2520-2525
2008
V. S. Vygodskii, A. S. Shaplov, E. I. Lozinskaya, K. A. Lyssenko, D. G. Golovanov, I. A. Malyshkina, N. D. Gavrilova, M. R. Buchmeiser ,
Conductive polymer electrolytes derived from poly(norbornene)s with pendant ionic imidazolium moieties
Macromol. Chem. Phys. 209 (2008) 40-51
T. Scherzer, W. Knolle, S. Naumov,
Flammenhemmer als Photoinitiator
Farbe und Lack 114 (2008) 40-44
C. Bundesmann, R. Schmidt-Grund, M. Schubert,
Optical properties of ZnO and related compounds
in 'Transparent Conductive Zinc Oxide'; K. Ellmer, A. Klein, B. Rech (Eds.); ISBN 978-3-540-73611-0; Springer, Berlin; Springer Series in Materials Science 104 (2008) 79-124
M. Mäder, T. Höche, J. W. Gerlach, R. Böhme, K. Zimmer, B. Rauschenbach,
Large area metal dot matrices made by diffraction mask projection laser ablation
Phys. Stat. Sol. (RRL) 2 No. 1 (2008) 34-36
C. Patzig, B.Rauschenbach, B. Fuhrmann, H. S. Leipner ,
Growth of Si nanorods in honeycomb and hexagonal-closed-packed arrays using glancing angle deposition
J. Appl. Phys. 103 (2008) 024313
M. Tartz, E. Hartmann, H. Neumann,
Validated simulation of the ion extraction grid lifetime
Rev. Sci. Instrum. 79 (2008) 02B905
S. Naumov, C. von Sonntag,
The Energetics of Rearrangement and Water Elimination Reactions in the Radiolysis of the DNA Bases in Aqueous Solution: DFT Calculations
Radiat. Res. 169 (2008) 355-363
S. Naumov, C. von Sonntag,
Guanine-Derived Radicals: Dielectric Constant-Dependent Stability and UV/Vis Spectral Properties: A DFT Study
Radiat. Res. 169 (2008) 364-372
F. Bauer,
Isotope labeling and kinetic isotope effects in: Handbook of heterogeneous catalysis
Wiley-VCH, Weinheim 3 (2008) 1516-1543
F. Bauer, A. Lubentsov, E. Bilz, A. Freyer, H. Papp, R. Gläser,
Mechanistic studies on skeletal isomerization of n-butene over HFER and HZSM-5
20. Deutsche Zeolith-Tagung , Halle, 05.03. (2008) 152-153
H. Bauch, R. Emmler, R. Mehnert, R. Flyunt,
Verschleißfeste Lackierungen mit UV-Nanokomposit-Systemen für Holzoberflächen1 Teil 1: Kratzfeste korundfreie Nanokomposit-Lacke
Holztechnologie 49 (2) (2008) 37-42
C. Augsten, W. Knolle, K. Mäder,
Characterizing the influence of electron irradiation on scleroglucan
Carbohyd. Polym. 72 (2008) 707-718
Y. Cai, Z. Shen, Th. Höche, J. Grins and S. Esmaeilzadeh,
Superplastic Deformation of Nitrogen-Rich Ca-alpha-Sialon Ceramics
MAT SCI ENG A-STRUCT 475 (2008) 81-86
M. Mäder, K. Zimmer, R. Böhme, Th. Höche, J.W. Gerlach, B. Rauschenbach,
Nano-Patterning by Diffraction Mask-Projection Laser Ablation
J Laser Micro/Nanoengineering 3 (2008) 9-13
Th. Höche, F. Ulmer and B. Rauschenbach,
Pulsed Laser Deposition using Femtosecond Laser Radiation
J Laser Micro/Nanoengineering 3 (2008) 41-45
B. Ziberi, F. Frost, M. Tartz, H. Neumann, B. Rauschenbach,
Ripple rotation, pattern transitions, and long range ordered dots on silicon by ion beam erosion
Appl. Phys. Lett. 92 (2008) 063102
S. Heinrich, S. Schirmer, D. Hirsch, J.W. Gerlach, D. Manova, W. Assmann and S. Mändl ,
Comparison of ZrN and TiN formed by PBII&D
Surf. Coat. Tech. 202 (2008) 2310-2313
D. Manova, T. Höche, D. Hirsch, S. Mändl, H. Neumann,
Nitriding of Fe-Cr-Ni thin films by ion implantation
Surf. Coat. Tech. 202 (2008) 2443-2447
C. Blawert, D. Manova, M. Störmer, J.W. Gerlach, W. Dietzel and S. Mändl,
Correlation between Texture and Corrosion Properties of Magnesium Coatings Produced by PVD
Surf. Coat. Tech. 202 (2008) 2236-2240
E. Valcheva, S. Dimitrov, D. Manova, S. Mändl and S. Alexandrova,
AlN Nanostructured Thin Films Formation by Plasma Ion Immersion Implantation
Surf. Coat. Tech. 202 (2008) 2319-2322
M. Raaif, F.M. El-Hossary, N.Z. Negm, S.M. Khalil, A. Kolitsch, D. Höche, J. Kaspar, S. Mändl and P. Schaaf,
CO2 Laser Nitriding of Titanium
J. Phys. D Appl. Phys. 41 (2008) 085208
S. Schirmer, D. Manova and S. Mändl,
Efficiency of a Venetian Blind Filter in PBIID
Phys Status Solidi C 5 (2008) 927-931
F. Haberkorn, D. Todorova, D. Manova and S. Mändl,
Highly Localized Ion Focusing Effects in PBII and PBIID
Phys Status Solidi C 5 (2008) 918-922
J. Lutz, J.W. Gerlach and S. Mändl,
PIII Nitriding of fcc Alloys Containing Ni and Cr
Phys Status Solidi A 205 (2008) 980 - 984
J. Lutz, A. Lehmann and S. Mändl,
Nitrogen Diffusion in Medical CoCrNiW Alloys after Plasma Immersion Ion Implantation
Surf. Coat. Tech. 202 (2008) 3747 - 3753
Th. Arnold and A. Schindler,
Mass spectrometry at a Ar/SF6/O2 chemically reactive plasma jet
PHYS STATUS SOLIDI A 4 (2008) 957 - 960
C. Diaz, J. Lutz, S. Mändl, J. A. García, R. Martínez, R. J. Rodríguez, J. J. de Damborenea, M. A. Arenas and A. Conde,
Tribological and biocompatibility behaviours of Plasma immersion implanted Ti6Al4V alloy
Phys Status Solidi C 5 (2008) 947-951
D. Wang, K. Wurst, W. Knolle, U. Decker, L. Prager, S. Naumov, M. Buchmeiser,
Cationic RuII complexes with N-Heterocyclic Carbene Ligands for UV-Induced Ring-Opening Metathesis Polymerization
Angew. Chem. Int. Ed. 47 (2008) 3267-3270
K. Vehlow, D. Wang, M. Buchmeiser, S. Blechert,
Alternating Copolymerizations Using a Grubbs-Type Initiator with an Unsymmetrical, Chiral N-Heterocyclic Carbene Ligand
Angew. Chem. Int. Ed. 47 (2008) 2615-2618
S. V. Vakov, A. S. Shaplov, E. I. Lozinskaya, P. S. Vlasov, I. A. Malyshkina, N. D. Gavrilova, P. S. Kumar, M. R. Buchmeiser ,
Cyclopolymerization of N,N-Dipropargylamines and N,N-Dipropargyl Ammonium Salts
Macromolecules 41 (2008) 1919-1928
E. Erdem, A. Matthes, R. Böttcher, H.-J. Gläsel, E. Hartmann,
Size Effects in Ferroelectric PbTiO3 Nanomaterials
J. Nanosci. Nanotechnol. 8 (2008) 702-716
R. Bandari, W. Knolle, M. R. Buchmeiser ,
Comparative study on the separation behavior of monolithic columns prepared via ring-opening metathesis polymerization and via electron beam irradiation triggered free radical polymerzation for proteins
J. Chromatogr. A 1191 (2008) 268-273
H. Bauch, R. Emmler, R. Mehnert, R. Flyunt,
Verschleißfeste Lackierungen mit UV-Nanokomposit-Systemen für Holzoberflächen. Teil 1: Abriebfeste korundhaltige Nanokomposit-Lacke
Holztechnologie 49 (2008) 43-48
F. Scholze, M. Tartz, H. Neumann,
Inductive coupled radio frequency plasma bridge neutralizer
Rev. Sci. Instrum. 79 (2008) 02B724
M.W. Schröder, K. Brand, G. Pelzl, U. Baumeister, S. Diele, W. Weissflog,
Unusual electro-optical response of an oblique columnar phase formed by a bent-core mesogen
Liq. Cryst. 35 (2008) 325-331
C. Bundesmann, M. Tartz, F. Scholze, H. Leiter, D. Feili, H. Neumann,
An advanced characterization system for studying plasma properties, ion beams, and construction parts of ion thrusters
Proc. 5th International Spacecraft Propulsion Conference (Space Propulsion 2008), Heraklion, Kreta, 5.-8.5. (2008)
F. M. Sinner, C. Gatschelhofer, A. Mautner, C. Magnes, M. R. Buchmeiser, T. R. Pieber,
Ring-opening metathesis polymerization-derived monolithic capillary columns for high-performance liquid chromatography Downscaling and application in medical research
J. Chromatogr. A 1191 (2008) 274-281
M. Braeckevelt, G. Mirschel, A. Wiesner, M. Rueckert, N. Reiche, C. Vogt, A. Schulz, H. Paschke, P. Kuschk, M. Kästner,
Treatment of chlorobenzene-contaminated groundwater in a pilot-scale constructed wetland
Ecological Engineering 33 (2008) 45-53
S. Mändl, W. Möller, B. Rauschenbach (Editors),
Proceedings 9th International Workshop Plasma-Based Ion Implantation Deposition
Phys Status Solidi C 5 (2008) 949-984
F. Frost, B. Ziberi, A. Schindler, B. Rauschenbach ,
Surface engineering with ion beams: from self-organized nanostructures to ultra-smooth surfaces
Appl. Phys. A 91 (2008) 551-559
C. Sturm, T. Chavdarov, R. Schmidt-Grund, B. Rheinländer, C. Bundesmann, H. Hochmuth, M. Lorenz, M. Schubert, M. Grundmann,
Investigation of the free charge carrier properties at the ZnO-sapphire interface in a-plane ZnO films studied by generalized infrared ellipsometry
Phys. Status Solidi C 5 (2008) 1350-1353
F. Scholze, M. Tartz, H. Neumann, H. J. Leiter,
Effects of microparticle impact on the grid performance
5th International Space Propulsion Conference, Heraklion - Crete, 05.-09. 05. (2008)
S. Tripathi, R.J. Choudhary, A. Tripathi, V. Baranwal, A.C. Pandey, J.W. Gerlach, C. Dar, D. Kanjilal,
Studies of effect of deposition parameters on the ZnO films prepared by PLD
Nucl. Instrum. Meth. B 266 (2008) 1533-1536
S. Findeisen-Tandel, M.W. Schröder, G. Pelzl, U. Baumeister, W. Weissflog, S. Stern, A. Nemes, R. Stannarius, A. Eremin,
Multistage polar switching in bent-core mesogens
Eur. Phys. J. E 25 (2008) 395-402
M. J. Beier, W. Knolle, A. Prager-Duschke, M. R. Buchmeiser,
Post-synthesis functionalization of (meth)acrylate based monoliths via electron beam triggered graft polymerization
Macromol. Rapid Comm. 29 (2008) 904-909
T. Scherzer, W. Knolle, S. Naumov, C. Elsner, M. R. Buchmeiser,
Self-initiation of the UV photopolymerization of brominated acrylates
J. Polym. Sci. Polym. Chem. 46 (2008) 4905-4916
Yu. V. Trushin, D. V. Kulikov, K. L. Safonov, J. W. Gerlach, Th. Höche, B. Rauschenbach,
Atomic assembly during ion-beam assisted growth: Kinetic modeling
J. Appl. Phys. 103 (2008) 114904
G. Pelzl, M.G. Tamba, S. Findeisen-Tandel, M.W. Schröder, U. Baumeister, S. Diele, W. Weissflog,
New bent-core mesogens with carbon-carbon multiple linkages in the terminal chains
J. Mater. Chem. 18 (2008) 3017-3031
T. Trottenberg, H. Kersten, H. Neumann,
Feasibility of electrostatic microparticle propulsion
New J. Phys. 10 (2008) 063012
V. Baranwal, A.C. Pandey, J.W. Gerlach, B. Rauschenbach, H. Karl, D. Kanjilal, D.K. Avasthi,
Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films
J. Appl. Phys. 103 (2008) 124904
O. Makogon, R. Flyunt, T. Tobien, S. Naumov, M. Bonifacic,
Dimethylselenide as a Probe for Reactions of Halogenated Alkoxyl Radicals in Aqueous Solution. Degradation of Dichloro- and Dibromomethane
J. Phys. Chem. 112 (2008) 5908-5916
Th. Bahners, L. Prager, B. Marquardt, E. Schollmeyer,
Functional top coats on coated textiles for improved or self-attained cleanability
in: Contact Angle, Wettability and Adhesion, Vol. 5, K.L. Mittal (Ed.), VSP Brill, Leiden 2008, ISBN 978 90 04 15864 1 (2008) 153-171
T. Scherzer, K. Heymann, G. Mirschel, M. R. Buchmeiser,
Process Control in Ultraviolet Curing with In-line Near Infrared Reflection Spectroscopy
J. Near Infrared Spectrosc. 16 (2008) 165-171
D. Manova and S. Mändl,
Surface Nitriding with Energetic Ions using Plasma Based Ion Implantation
R. Wei (Ed), Plasma Surface Engineering Research and its Practical Applications, Research Signpost, (ISBN 978-81-308-0257-2) (2008) 293-312
S. Mändl and D. Manova,
Formation of Hard Coatings using Plasma Based Ion Implantation & Deposition
in R. Wei (Ed), Plasma Surface Engineering Research and its Practical Applications, Research Signpost, (ISBN 978-81-308-0257-2) (2008) 273-292
K. Zimmer, R. Böhme, B. Rauschenbach,
Local growth of aligned carbon nanotubes at surface sites irradiated by pulsed laser
Phys. E 40 (2008) 2223-2226
Yu.V. Trushin, D.V. Kulikov, K.L. Safonov, B. Rauschenbach,
Kinetic equations and nanocrystal growth in molecular beam epitaxy methods
Bulletin Russ. Academy Sci.: Physics 72 (2008) 937-940
C. Patzig, B. Rauschenbach,
Temperature effect on the glancing angle deposition of Si sculptured thin films
J. Vac. Sci. Technol. A 26 (2008) 881-886
I. Zizak, N. Darowski, S. Klaumünzer, G. Schumacher, J.W. Gerlach, W. Assmann,
Ion-beam-induced collective rotation of nanocrystals
Phys. Rev. Lett. 101 (2008) 065503
C. Bundesmann, I.-M. Eichentopf, S. Mändl, H. Neumann,
Stress relaxation and optical characterization of TiO2 and SiO2 films grown by dual ion beam deposition
Thin Solid Films 516 (2008) 8604-8608
F. Mei, K.M. Wu, Y. Pan, T. Han, C. Liu, J.W. Gerlach, B. Rauschenbach,
Structural and optical properties of Cr-doped semi-insulating GaN epilayers
Appl. Phys. Lett. 93 (2008) 113507
K. Zimmer, R. Böhme, B. Rauschenbach,
Local growth of aligned carbon nanotubes at surface sites irradiated by pulsed laser
Physica E 40 (2008) 2223-2226
L. Prager, P. Marsik, L. Wennrich, M. R. Baklanov, S. Naumov, L. Pistol, D. Schneider, J. W. Gerlach, P. Verdonck, M. R. Buchmeiser,
Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths
Microelectr. Eng. 85 (2008) 2094-2097
A. Löber, A. Verch, B. Schlemmer, S. Höfer, B. Frerich, M. R. Buchmeiser,
Monolithic Polymers for Cell Cultivation, Differentiation, and Tissue Engineering
Angew. Chem. Int. Edit 47 (2008) 9138-9141
M. R. Buchmeiser,
Stationary phases for chromatography prepared by ring opening metathesis polymerization
J. Sep. Sci. 31 (2008) 1907-1922
S. Naumov, M. R. Buchmeiser ,
Comparative DFT study on the role of conformers in the ruthenium alkylidene-catalyzed ROMP of norborn-2-ene
J. Phys. Org. Chem. 21 (2008) 963-970
R. Flyunt, J. von Sonntag, E. Beyer, M. Buchmeiser, R. Emmler, M. Beyer,
Modern UV-Nanocomposites for Wood Coatings for Outdoor Applications
13-th International Conference Polymeric Materials 2008', Halle/Saale, Germany, 24-26.09.2008 (2008)
S. Naumov, C. von Sonntag,
The reactions of bromide with ozone towards bromate and the hypobromite puzzle: a Density Functional Theory study
Ozone: Science and Engineering 30 (2008) 339-343
A. Baidak, S. Naumov, O. Brede,
Kinetic and Energetic Analysis of the Free Electron Transfer
J. Phys. Chem. 112 (2008) 10200-10209
L. Prager, L. Wennrich, R. Heller, U. Trimper, D. Decker, M. Roth, M. R. Buchmeiser,
Herstellung von SiOx-Barrieren auf Polymerfolien mittels Vakuum-UV-Bestrahlung von Polysilazanschichten
16. Neues Dresdner Vakuumtechnisches Kolloquium, Dresden, 16.-17.10 (2008) 17-24
L. Wennrich, L. Prager, W. Knolle, U. Decker, D. Decker, R. Heller, S. Naumov, M. R. Buchmeiser,
Bildung von SiOCH-Netzwerken aus Polyorganosilazanen mittels Vakuum-UV-Bestrahlung
16. Neues Dresdner Vakuumtechnisches Kolloquium, Dresden, 16.-17.10 (2008) 174-175
F. Bauer, U. Decker, R. Flyunt, R. Mehnert, R. Schubert, M. R. Buchmeiser,
Reticolazione a UV ed opacizzazione dei materiali nano-micro compositi
Coatings-UV 5 (2008) 9-15
X. Ou, R. Kögler, A. Mücklich, W. Skorupa, W. Möller, X. Wang, J.W. Gerlach, B. Rauschenbach,
Efficient oxygen gettering in Si by coimplantation of hydrogen and helium
Appl. Phys. Lett. 93 (2008) 161907
N. Müller, K. Franke, P. Schreck, D. Hirsch and H. Kupsch,
Georadiochemical evidence to weathering of mining residues of the Mansfeld mining district, Germany
Environ Geol 54 (2008) 869-877
I. Abdulhalim, A. Karabchevsky, C. Patzig, B. Rauschenbach, B. Fuhrmann,
Sensitivity enhancement of guided wave surface plasmon resonance sensors
SPIE Proceed. 7041 (2008) 70410G
A. Baidak, S. Naumov, R. Hermann and O. Brede,
Ionization of Amino-, Thio- and Hydroxy-naphtalenes via Free (Unhindered) Electron Transfer
J. Phys. Chem. 112 (2008) 11036-11043
W. H. Binder, S. Kurzhals, B. Pulamagatta, U. Decker, G. M. Pawar, D. Wang, C. Kühnel, M. R. Buchmeiser,
Homologous Poly(isobutylene)s: Poly(isobutylene)/High-Density Poly(ethylene) Hybrid Polymers
Macromolecules 41 (22) (2008) 8405-8412
N. C. Imlinger, C. Blattner, M. Krell, M. R. Buchmeiser,
Hard-modeling of reaction kinetics by combining online spectroscopy and calorimetry
J. Chemometr. 22 (2008) 758-767
K. Zimmer, R. Böhme,
Laser-Induced Backside Wet Etching of Transparent Materials with Organic and Metallic Absorbers
Laser Chemistry 2008 (2008) 170632
R. Böhme, C. Vass, B. Hopp, K. Zimmer,
Sub-wavelength ripples in fused silica after the irradiation the solid/liquid interface with ultrashort laser pulses
Nanotechnology 19 (2008) 495301
C. Patzig, T. Karabacak, B. Fuhrmann, B. Rauschenbach,
Glancing angle sputter deposited nanostructures on rotating substrates: Experiments and simulations
J. Appl. Phys. 104 (2008) 094318
J. Lutz, J.K.N. Lindner, S. Mändl ,
Marker Experiments to Determine Diffusing Species and Diffusion Path in Medical Nitinol Alloys
Appl. Surf. Sci. 255 (2008) 1107-1109
S. Möllenbeck, N. Bogdanski, M. Wissen, H. C. Scheer, J. Zajadacz and K. Zimmer,
Analysis of the filling behaviour of trenches via air bubble tracking
Microelectron. Eng. 85 (2008) 881-885
M. Mäder, J.W. Gerlach, Th. Höche, Ch. Czekalla, M. Lorenz, M. Grundmann, B. Rauschenbach,
ZnO nanowall networks grown on DiMPLA pre-patterend thin gold films
Phys. Stat. Sol. RRL 2 (2008) 200-202
B. Rauscehnbach, C. Patzig,
Periodic nanoscale Si structures by ion beam induced glancing angle deposition
2nd IEEE Intern. Nanoelectronics Conference, Shanghai, 24.-27.5. (2008) 669-673
I. Avramov, Th. Höche, G.S. Henderson,
On the Possibility of Differences between Surface and Bulk Structure of Glasses
J NON-CRYST SOLIDS 354 (2008) 4681-4686
E. Schubert, F. Frost, H. Neumann, B. Rauschenbach, B. Fuhrmann, F. Heyroth, J. Rivory, B. Gallas, M. Schubert,
Ion beam assisted growth of sculptured thin films: Structure alignment and optical fingerprints
Advances in Solid State Physics 46 (2008) 297-308
S. Möllenbeck, N. Bogdanski, H.-C. Scheer, J. Zajadacz, K. Zimmer,
Moulding of arrowhead structures
Paper Nr. NIL 1-P-12, MNE 08, Athen, 15.-18. 09 (2008)
S. Möllenbeck, N. Bogdanski, H.-C. Scheer, J. Zajadacz, K. Zimmer,
Replication of 3D-structures with undercuts
MME 08 , Aachen, 28.-30. 09 (2008)
T. Hänsel, A. Nickel, A. Schindler,
Ion beam figuring of strongly curved surfaces with a (x, y, z) linear three-axes system
Paper Nr. JWD6, Optical Fabrication and Testing, OSA Technical Digest (CD) (Optical Society of America; www.opticsinfobase.org/abstract.cfm , Rochester USA, 20.-24.10. (2008)
T. Scherzer, G. Mirschel, K. Heymann,
In-line Monitoring of Coating Processes by NIR Reflection Spectroscopy
Spectroscopy Europe 20 (6) (2008) 6-8
Th. Arnold, J. Meister, G. Böhm,
Atmospheric Plasma Jet Machining: Simulation of Spatio- Temporal Substrate Surface Temperature Distributions
Frontiers in Optics/Laser Science XXIV/Plasmonics and Metamaterials/Optical Fabrication and Testing on CD ROM (Optical Society of America, Washington, DC, 2008), OThD2, ISBN 978-1-55752-861-2 (2008)
G. Böhm, I.-M. Eichentopf, Th. Arnold,
Atmospheric Plasma Jet Machining of Optical Surfaces
Frontiers in Optics 2008/ Laser ScienceXXIV/Plasmonics and Metamaterials/ Optical Fabrication and Testing on CD-ROM (Optical Society of America, Washington, DC, 2008), OThD4, ISBN 978-1-55752-861-2 (2008)
S. Camadanli, R. Beck, U. Flörke, H.-F. Klein ,
First Regioselective Cyclometalation Reactions of Cobalt in Arylketones: C-H versus C-F Activation
JOURNAL OF THE CHEMICAL SOCIETY-DALTON TRANSACTIONS 42 (2008) 5701-5704
R. Beck, M. Frey, S. Camadanli, H.-F. Klein,
Four- and Five-Membered Cobaltacycles by Regioselective Cyclometallation of Benzyl Sulfide Derivatives via Co(IV) Intermediates.
JOURNAL OF THE CHEMICAL SOCIETY-DALTON TRANSACTIONS 37 (2008) 4981-4983
R. Beck, H. Sun, X. Li, S. Camadanli, H.-F. Klein,
Cyclometalation of Thiobenzophenones with Mononuclear Methyliron and -Cobalt Complexes
Eur. J. Inorg. Chem. 21 (2008) 3253-3257
R. Schmidt-Grund, C. Sturm, M. Schubert, B. Rheinländer, D. Faltermeier, H. Hochmuth, A. Rahm, J. Bläsing, C. Bundesmann, J. Zúñiga-Pérez, T. Chavdarov, M. Lorenz, M. Grundmann,
Valence Band Structure of ZnO and MgxZn1-xO
Mater. Res. Soc. Symp. Proc. 1035E (2008) 1035-L11-37
R. Wunderlich, J. Kohlrautz, B. Abel, J. Haase, J. Meijer ,
Investigation of room temperature multispin-assisted bulk diamond 13-C hyperpolarization at low magnetic fields
J. Phys. Condens. Matter 30 (2008) 305803
2007
C. von Sonntag ,
Free-radical-induced DNA damage as approached by quantum-chemical and Monte Carlo calculations: An overview from the standpoint of an experimentalist
Adv. Quantum Chem. 52 (2007) 5-20
R. Rodil, J. von Sonntag, L. Montero, P. Popp, M. R. Buchmeiser,
Glass-fiber reinforced poly(acrylate)-based sorptive materials for the enrichment of organic micropollutants from aqueous samples
J. Chromatogr. A 1138 (2007) 1-9
N. Karakostas, S. Naumov, and O. Brede,
Ionization of aromatic sulfides in nonpolar media: Free vs reaction-controlled electron Transfer
J. Phys. Chem. 111 (2007) 71-78
H.-J. Gläsel, E. Hartmann, L. Wennrich, T. Höche, M. R. Buchmeiser,
Novel nanosized aluminium carboxylates: Synthesis, characterization and use as nanofillers for protective polymeric coatings
Macromol. Mater. Eng. 292 (2007) 70-77
K. Zimmer, R. Böhme, B. Rauschenbach,
Using IR laser radiation for backside etching of fused silica
Appl. Phys. A 86 (2007) 409-414
J. W. Gerlach, J. Mennig, B. Rauchenbach,
Epitaxial gadolinium nitride thin films
Appl. Phys. Lett. 90 (2007) 061919
F. Bauer, E. Bilz, A. Freyer, V. Sauerland,
Isomerization of n-butene over pre-coked HZSM-5 and HFER
19. Deutsche Zeolith-Tagung, Leipzig, 07.-09.03. (2007) 63-64
N. Nowotny, B. Epp, C. von Sonntag, H. Fahlenkamp,
Quantification and modeling of the elimination behavior of ecologically problematic wastewater. Micropollutants by adsorption on powdered and granulated activated carbon
Environ. Sci. Technol. 41 (2007) 2050 - 2055
N. C. Imlinger, M. Krell, M. R. Buchmeiser,
Modeling the kinetics of hydrosilylation based polyaddition
Monatsh. Chem. 138 (2007) 285-291
S. Pissadakis, R. Böhme, K. Zimmer,
Sub-micron periodic structuring of sapphire by laser induced backside wet etching technique
Optics Express 15 (4) (2007) 1428-1433
Y. Cai, Z. Shen, J. Grins, S. Esmaeilzadeh, Th. Höche,
Self-Reinforced Nitrogen-Rich Calcium alpha-Sialon Ceramics
J AM CERAM SOC 90 (2007) 608-613
R. Böhme, K. Zimmer,
Smooth and precise: laser backside etching of transparent dielectrics
Photonik International (2007) 80-83
S. Mändl,
PIII Treatment of Ti Alloys and NiTi for Medical Applications,
Surf. Coat. Tech. 201 (2007) 6833-6838
T. Lutz, J.W. Gerlach, S. Mändl ,
Diffusion, Phase Formation and Segregation Effects in Ti6Al4V after Oxygen PIII
Surf. Coat. Tech. 201 (2007) 6690-6694
D. Manova, S. Mändl, H. Neumann, B. Rauschenbach ,
Influence of Grain Size on Nitrogen Diffusivity in Austenitic Stainless Steel
Surf. Coat. Tech. 201 (2007) 6686-6689
D. Manova, S. Mändl, B. Rauschenbach,
Incident Ion Fluence Gradients on the Frontside and Backside of Flat Samples
Surf. Coat. Tech. 201 (2007) 6593-6596
Th. Höche, M. Grodzicki, F. Heyroth, R. Uecker, P.A. van Aken,
Manifestation of Incommensurate Structural Modulations in the Ti-L2,3 Electron Energy-Loss Near-Edge Structure of Sr2TiSi2O8
PHIL MAG LETT 87[6] (2007) 431-439
S. Schirmer, J.K.N. Lindner, S. Mändl,
Determination of Diffusing Species from Marker Experiments in the System Ni-Ti-O
Nucl. Instrum. Meth. B 257 (2007) 714-717
Y. Bohne, D. Manova, C. Blawert, M. Störmer, W. Dietzel, S. Mändl ,
Influence of Ion Energy on Morphology and Corrosion Properties of Mg Alloys Formed by Energetic PVD Processes
Nucl. Instrum. Meth. B 257 (2007) 392-396
J.W. Gerlach, A. Hofmann, T. Höche, B. Rauschenbach,
Control of the crystalline quality of wurtzitic GaN films deposited on gamma-LiAlO2 by ion beam assisted molecular beam epitaxy
Nucl. Instrum. Meth. B 257 (2007) 315-319
D. Manova, E. Richter, I.-M. Eichentopf, S. Heinrich, S. Mändl, H. Neumann, B. Rauschenbach,
Interplay of Cold Working and Nitrogen Diffusion in Austenitic Stainless Steel
Nucl. Instrum. Meth. B 257 (2007) 442-446
N. Razek, A. Schindler and B. Rauschenbach,
Ultra-high vacuum direct bonding of a pn junction GaAs wafer using low-energy hydrogen ion beam surface cleaning
Vacuum 81 (2007) 974-978
E. Valcheva, D. Manova, S. Mändl, S. Alexandrova, J. Lutz, S. Dimitrov,
Ion beam synthesis of AlN nanostructured thin films
Journal of Optoelectronics and Advanced Materials 9 (2007) 166-169
M. R. Buchmeiser ,
Polymeric monolithic materials: Syntheses, properties, functionalization and applications
Polymer 48 (2007) 2187-2198
T. Scherzer, M. R. Buchmeiser,
Photoinitiated cationic polymerization of cycloaliphatic epoxide/vinyl ether systems studied by near-infrared reflection spectroscopy
Macromol. Chem. Phys. 208 (2007) 946-954
S. Mändl,
Nitriding of Stainless Steel: PIII or Low Energy Nitriding?
Plasma Proc. Polymers 4 (2007) 239-245
I.-M. Eichentopf, A. Lehmann, J. Lutz, J.W. Gerlach, S. Mändl,
Mechanical Surface Properties of CoCr Alloys after Nitrogen PIII
Plasma Proc. Polymers 4 (2007) S44-S48
R. Böhme, K. Zimmer,
The reduction of the threshold fluence at laser-induced backside wet etching due to a liquid-mediated photochemical mechanism
J. Phys. D Appl. Phys. 40 (2007) 3060-3064
K. Zimmer, R. Böhme, D. Ruthe, B. Rauschenbach,
The influence of laser-induced surface modifications on the backside etching process
Appl. Surf. Sci. 253 (2007) 6588-6594
R. Böhme, S. Pissadakis, M. Ehrhardt, T. Rudolph, D. Ruthe, K. Zimmer,
Backside etching of fused silica with ultra-short laser pulses at the interface to absorbing liquid
J. Phys. Conference Series 59 (2007) 173-176
T. Rudolph, K. Zimmer, R. Böhme, D. Ruthe,
Excimer laser-induced material modification to create nanometer high smooth patterns in glass using mask projection
J. Phys. Conference Series 59 (2007) 169-172
K. Zimmer, R. Böhme, D. Ruthe, Th. Rudolph, B. Rauschenbach,
Local growth of vertical aligned carbon nanotubes by laser-induced surface modification of coated silicon substrates
J. Phys. Conference Series 59 (2007) 318-321
D. J. As, M. Schnietz, J. Schörmann, S. Potthast, J. W. Gerlach, J. Vogt, K. Lischka,
MBE growth of cubic AlxIn1-xN and AlxGayIn1-x-yN lattice matched to GaN
Phys. Status Sol. (c) 4 (2007) 2318-2321
C. Patzig, B. Rauschenbach, W. Erfurth, A. Milenin,
Ordered silicon nanostructures by ion beam induced glancing angle deposition
J. Vac. Sci. Technol. B 25(3) (2007) 833-838
M. Tartz, H. Neumann,
Sputter Yields of Carbon Materials under Xenon Ion Incidence
Plasma Process. Polym. 4 (2007) S633
Y. Bohne, C. Blawert, W. Dietzel, S. Mändl,
Formation and Corrosion Properties of Mg-Ti Alloys Prepared By Physical Vapour Deposition
Plasma Process. Polym. 4 (2007) S584 S587
M. Ducherow, A. Fleischer, S. Mändl ,
Change in Wear Behaviour of Ti and Ti6Al4V after Plasma Immersion Ion Implantation
Plasma Process. Polym. 4 (2007) S602-S606
Y. Bohne, D. Manova, S. Mändl, H. Neumann, B. Rauschenbach ,
Influence of Microstructure on Nitrogen Diffusion in Fe-Cr-Ni Thin Films
Plasma Process. Polym. 4 (2007) S660 S663
R. Bandari, W. Knolle, A. Prager-Duschke, H.-J. Gläsel, M. R. Buchmeiser,
Monolithic media prepared via electron beam curing for proteins separation and flow-through catalysis
Macromol. Chem. Phys. 208 (2007) 1428-1436
P. Sedlakova, I. Miksik, C. Gatschelhofer, F. M. Sinner, M. R. Buchmeiser ,
Voltage-assisted capillary LC of peptides using monolithic capillary columns prepared by ring-opening metathesis polymerization
Electrophoresis 28 (2007) 2219-2222
T. Scherzer, L. Prager, W. Knolle, S. Naumov, C. Elsner,
Photoinitiator-free Photopolymerization of Acrylates
RadTech Report 21 (2007) 1-11
R. Josh, Tapan K. Ghanty, S. Naumov, T. Mukherjee,
Structural Investigation of Asymmetrical Dimer Radical Cation System (H2O-H2S)+: Proton-Transferred or Hemi-Bonded?
J. Phys. Chem. 111 (2007) 2362-2367
H.-F. Listewnik, K.-D. Wendlandt, M. Jechorek, G. Mirschel,
Process Design for the Microbial Synthesis of Poly-ß-hydroxybutyrate (PHB) from Natural Gas
Eng. Life Sci. 7 (2007) 278-282
O. Brede and S. Naumov ,
Femtosecond events in the free electron transfer
Radiat. Phys. Chem. 76 (2007) 1229-1230
M. Braeckevelt, H. Rokadia, G. Mirschel, S. Weber, G. Imfeld, N.Stelzer, P. Kuschk, M. Kästner, H. H. Richnow,
Biodegradation of chlorobenzene in constructed wetland treating contaminated groundwater
Water Science & Technology 56 (2007) 57-62
T. Nöthe, D. Hartmann, J. von Sonntag, C. von Sonntag, H. Fahlenkamp,
Elimination of the musk fragrances galaxolide and tonalide from wastewater by ozonation and concomitant stripping
Water. Sci. Technol. 55 No. 12 (2007) 287-292
C. Schmidt, M. R. Buchmeiser,
Peculiarities of the RuIV-Alkylidene Triggered Cyclopolymerization of N-(bis(alkyloxy)aryl)-Containing 1,6-Heptadiynes
Macromol. Symp. 254 (2007) 370-374
R. Bandari, W. Knolle, M. R. Buchmeiser,
Preparation, Characterization and Application of Electron-Beam Curing-Derived Monolithic Materials
Macromol. Symp. 254 (2007) 87-92
M. R. Buchmeiser, D. Wang, Y. Zhang, S. Naumov, K. Wurst,
Novel Ruthenium(II) N-Heterocyclic Carbene Complexes as Catalyst Precursors for the Ring-Opening Metathesis Polymerization (ROMP) of Enantiomerically Pure Monomers: X-ray Structures, Reactivity, and Quantum Chemical Considerations
Eur. J. Inorg. Chem. (2007) 3988-4000
G. Raciukaitis, M. Brikas, G. Darc, D. Ruthe, K. Zimmer,
Laser structuring of conducting films on transparent substrates
Paper Nr. 6732-11, SPIE 6732 International Conference on Lasers, Applications, and Technologies 2007: Laser-assisted Micro- and Nanotechnologies, Minsk, 28.05 (2007) 67320-C
K. Zimmer, R. Bohme, D. Ruthe, B. Rauschenbach,
Stimulation of the local growth of aligned carbon nanotubes by pulse laser exposure of the substrate
Appl. Surf. Sci. 253 (2007) 7707-7710
R. Böhme, K. Zimmer,
Indirect laser etching of fused silica: Towards high etching rate processing
Appl. Surf. Sci. 253 (2007) 8091-8096
D. Manova, D. Hirsch, E. Richter, S. Mändl, H. Neumann, B. Rauschenbach,
Microstructure of Nitrogen Implanted Stainless Steel after Wear Experiments
Surf. Coat. Tech. 201 (2007) 8329 8333
S. Möllenbeck, N. Bogdanski, M. Wissen, H.-C. Scheer, J. Zajadacz, K. Zimmer,
Multiple replication of three dimensional structures with undercuts
J. Vac. Sci. Technol. B 25 (2007) 247-251
H.-C. Scheer, N. Bogdanski, S. Möllenbeck, M. Wissen, K. Zimmer, J. Zajadacz,
Fingerprint stamp for evaluation of polymer flow time constants in thermal nanoimprint
Microelectron. Eng. 84 (2007) 949-952
K. Zimmer, R. Böhme,
Patterning of transparent materials by laser-induced backside etching
Paper Nr. MI4, Proceedings of the Fourth International WLT-Conference on Lasers in Manufacturing 2007, Munich, June (2007) 783-786
J. Kovac, D. Pudis, A. Satka, F. Uherek, V. Gottschalch, B. Rheinlander, H. Herrnberger, J. Zajadacz, K. Zimmer, A. Schindler,
Properties of InGaAs/GaAs QW coupled edge and surface emitting tilted cavity lasers
Laser Physics Letters 4 (2007) 200-203
M.M. Sein, A. Golloch, T. C. Schmidt, C. von Sonntag,
No marked kinetic isotope effect in the peroxone (H2O2/D2O2+O3) Reaction: Mechanistic consequences
Chem. Phys. Chem. 8 (2007) 2065-2067
C. von Sonntag,
The basics of oxidants in water treatment. Part A: OH radical reactions
Water Sci. Technol. 55 (2007) 19-23
C. von Sonntag,
DNA lesions induced by ionizing radiation (Chromosomal alterations methods, results and importance in human health)
Springer -Verlag Berlin Heidelberg (2007) 21-38
A. Sidorenko, H. Peisert, H. Neumann, T. Chassé,
Substrat-dependent wetting layer formation during GaN growth: Impact on the morphology of the films
J. Appl. Phys. 102 (2007) 044907
T. Trottenberg, H. Kersten, H. Neumann,
Feasibility of Electrostatic Microparticle Thrusters
Paper Nr. IEPC-2007-179, 30th International Electric Propulsion Conference , Florence, 17.-20.09. (2007)
M. Flury, S. Tonchev, R. Fechner, A. Schindler, O. Parriaux,
High-efficiency wide-band metal-dielectric resonant grating for 20 fs pulse compression
Journal of Europien Optical Society - Rapid Puplication 2 (2007) 07024
N. Lyndin, M. Flury, S. Tonchev, R. Fechner, O. Parriaux,
Design and fabrication of an all-dielectric grating with top-hat high diffraction efficiency over a broad spectral range
Journal of Europien Society - Rapid Puplicaions 2 (2007) 07019
F. Scholze, M. Tartz, H. Neumann, H. J. Leiter, R. Kukies, D. Feili, S. Weis,
Ion analytical characterisation of the RIT 22 ion thruster
Paper Nr. AIAA-2007-5216, 43. Joint Propulsion Conference, Cincinnatti, OH, 8.-11.7. (2007)
H. Leiter, R. Killinger, M. Boss, M. Braeg, M.Gollor, S.Weis, D. Feili, M. Tartz, H. Neumann, J. Haderspeck, D. Bock, D. M. Di Cara,
RIT-muX - The New Modular High Precision Micro Ion Propulsion System
30th International Electric Propulsion Conference, Florence, 17.-20.09. (2007)
H. Kersten, T. Trottenberg, R. Wiese, F. Scholze, M. Tartz, H. Neumann,
Ion Beam Characterization by Advanced Plasma Diagnostics with Levitated Particles
Paper Nr. IEPC-2007-148, 30th International Electric Propulsion Conference, Florence, 17.-20.09. (2007)
F. Bauer, E. Bilz, W. H. Chen, A. Freyer, V. Sauerland, S. B. Liu,
Isomerization of n-butene over pre-coked HZSM-5 and HFER
15th International Zeolite Conference, Beijing, China, 10.-20.08. (2007) 979-980
F. Bauer, E. Bilz, W. H. Chen, A. Freyer, V. Sauerland, S. B. Liu,
Isomerization of n-butene over pre-coked HZSM-5 and HFER
Stud. Surf. Sci. Catal. 170 (2007) 1096-1103
F. Bauer, R. Flyunt, K. Czihal, R. Schubert, M. R. Buchmeiser, H. Langguth, R. Mehnert,
Nano/micro particle hybrid composites for scratch and abrasion resistant polyacrylate coatings
Pitture e Vernici, European Coatings 83 (2) (2007) 13-19
L. Prager, A. Dierdorf, H. Liebe, S. Naumov, S. Stojanovic, R. Heller, L. Wennrich, M. R. Buchmeiser,
Conversion of perhydropolysilazane into a SiOx network triggered by vacuum ultraviolet irradiation: Access to flexible, transparent barrier coatings
Chem. Eur. J. 13 (2007) 8522-8529
C. Bundesmann, O. Buiu, S. Hall, M. Schubert,
Dielectric constants and phonon modes of amorphous hafnium aluminate deposited by metal organic chemical vapor deposition
Appl. Phys. Lett. 91 (2007) 121916
A. Sidorenko, H. Peisert, H. Neumann, T. Chassé,
GaN nucleation on 6H-SiC(0001): Ga and c-sapphire via ion induced nitridation of gallium: Wetting layers
Surf. Sci. 601 (2007) 4521-4525
F. Frost, H. Takino, R. Fechner, A. Schindler, N. Ohi, K. Nomura ,
Smoothing of Diamond-Turned Copper Surfaces Using Ion Beams with Aid of Planarizing Film
Jap. J. Appl. Phys. 46 (2007) 6071-6073
B. Bantu, K. Wurst, M. R. Buchmeiser,
N-Acetyl-N, N-dipryrid-2yl (cyclooctadiene) rhodium (I) and iridium (I) complexes: Synthesis, X-ray structures, their use in hydroformylation and carbonyl hydrosilylation reactions and in the polymerization of diazocompounds
J. Organomet. Chem. 692 (2007) 5272-5278
H. J. Gläsel, E. Hartmann, R. Mehnert, L. Wennrich, A. Prager-Duschke, H. Khalil, K. H. Hallmeier, L. Makhova, C. Thieroff, M. R. Buchmeiser,
Synthesis of polar and non-polar nano-corundum and uses for aluminium carboxylate hybrid nanocomposites with enhanced surface mechanical and viscoelastic properties
Macromol. Mater. Eng. 292 (2007) 1140-1148
F. Bauer, W. H. Chen, E. Bilz, A. Freyer, V. Sauerland, S. B. Liu,
Surface modification of nano-sized HZSM-5 and HFER by pre-coking and silanization
J. Catal. 251 (2007) 258-270
K. Eder, C. G. Huber, M. R. Buchmeiser ,
Surface-functionalized, ring-opening metathesis polymerization-drived monoliths for anion-exchange chromatography
Macromol. Rapid Commun. 28 (2007) 2029-2032
F. Bauer, R. Flyunt, K. Czihal, H. Langguth, R. Mehnert, R. Schubert, M. R. Buchmeiser,
UV curing and matting of acrylate coatings reinforced by nano-silica and microcorundum particles
Prog. Org. Coat. 60 (2007) 121-126
M. R. Buchmeiser,
Recent progress in ring-opening metathesis polymerization
Chemistry Today 25 (2007) 78-81
T. Hänsel, F. Frost, A. Nickel, A. Schindler,
Ultra-precision Surface Finishing by Ion Beam Techniques
Vakuuum in Forschung und Praxis 19 (2007) 24-30
A. Paschke, B. Vrana, P. Popp, L. Wennrich, H. Paschke, G. Schüürmann,
Membrane-enclosed sorptive coating for the monitoring of organic compounds in water
Compr. Anal. Chem. 48 (2007) 231-249
P. Popp, H. Paschke, B. Vrana, L. Wennrich, A. Paschke,
Membrane-enclosed sorptive coating as integrative sampler for monitoring organic compounds in air
Compr. Anal. Chem. 48 (2007) 107-123
R. Bandari, W. Knolle, A. Prager-Duschke, M. R. Buchmeiser,
Ring-opening metathesis polymerization based post-syntheses functionalization of electron beam curing derived monolithic media
Macromol. Rapid Comm. 28 (2007) 2090-2094
H. Neumann, M. Tartz, F. Scholze, T. Chassé, H. Kersten, H. Leiter,
Broad beam ion sources for electrostatic space propulsion and surface modification processes: From roots to present applications
Contrib. Plasma Phys. 47 (2007) 487-497
Th. Höche, Z. Shen, M. Nygren, J. Zhang, P.A. van Aken, F. Heyroth, R. Uecker,
Internal strain formed in oxide ceramics upon spark-plasma sintering
PHILOS MAG 87 (2007) 4555-4566
R. Böhme, K. Zimmer,
Laser-induced writing of submicron surface relief gratings in fused silica on the fly
Journal of Laser Micro/Nanoengineering 2 (3) (2007) 178-182
V. Metz, E. Bohnert, M. Kelm, D. Schild, J. Reinhardt, B. Kienzler, M. R. Buchmeiser,
Gamma-radiolysis of NaCl brine in the presence of UO2 (s): effects of hydrogen and bromide
Materials Research Society Symposium Proceedings 985 (2007) 33-40
J. Bonitz, C. Bundesmann, I.-M. Eichentopf, S. Mändl, H. Neumann, C. Kaufmann, T. Gessner,
Highly reflective MEMS micro mirror for material treatment and medical applications
Mikrosystemtechnik-Konferenz, Dresden, 15.-17.10. (2007) 513-516
Frederico Canova, Raphael Clady, Jean-Paul Chambaret, Manuel Flury, Svtelen Tonchev, Renate Fechner, and Olivier Parriaux,
High-efficiency, broad band, high-damage threshold high-index gratings for femtosecond pulse compression
Optics Express Vol. 15, Issue 23 (2007) 15324-15334
R. Bandari, C. Elsner, W. Knolle, C. Kühnel, U. Decker, M. R. Buchmeiser,
Separation behavior of electron-beam curing derived, acrylate-based monoliths
J. Sep. Sci. 30 (2007) 2821-2827
F. Bauer, R. Flyunt, K. Czihal, R. Mehnert, R. Schubert, M. R. Buchmeiser,
UV curing and matting of nano/micro composite materials
RadTech Europe, Wien, 12.-16.11. (2007) 04/06/01-06
T. Scherzer, M. R. Buchmeiser, A. Volland, H. Lucht,
NIR spectroscopy as powerful tool for process control in UV curing
RadTech Europe 2007 Conference, Wien, 13.-15.11. (2007)
T. Scherzer, S. Naumov, W. Knolle, C. Elsner, M. R. Buchmeiser,
Self-initiation of UV curing reactions with brominated acrylates
RadTech Europe 2007 Conference, Wien, 13.-15.11. (2007)
F. Bauer, R. Flyunt, K. Czihal, H. Ernst, S. Naumov, M. R. Buchmeiser,
UV curing of nanoparticle reinforced acrylates
Nucl. Instrum. Meth. B 265 (2007) 87-91
E. Schubert, F. Frost, H. Neumann, B. Rauschenbach, B. Fuhrmann, F. Heyroth, J. Rivory, E. Charron, B. Gallas, M. Schubert,
Ion Beam Assisted Growth of Sculptured Thin Films: Structure Alignment and Optical Fingerprints
Advances in Solid State Physics 46 (2007) 309-320
R. Flyunt, K. Czihal, F. Bauer, M. R. Buchmeiser, R. Mehnert, H. Bauch, R. Emmler,
UV-curable lacquers for transparent coatings
Asia Pac. Coatings J. 20 (2007) 22-23
Y. Bohne, D. Manova, C. Blawert, M. Störmer, W. Dietzel, S. Mändl,
Deposition and Properties of Novel Microcrystalline Mg Alloy Coatings
Surf. Eng. 23 (2007) 339-343
J. A. Jacob, S. Naumov, N. Biswas, T. Mukherjee, S. Kapoor,
Comparative study of ionization of benzidine and its derivatives by free electron transfer and one-electron oxidation
J. Phys. Chem. 111 (2007) 18397-18404
R. Flyunt, O. Makohon, S. Naumov, C. Schöneich, K.-D. Asmus,
Reactions of halogenated hydroperoxides and peroxyl and alkoxyl radicals from isoflurane in aqueous solution
J. Phys. Chem. 111 (2007) 11618-11625
F. Bauer, R. Flyunt, K. Czihal, R. Mehnert, R. Schubert, M. R. Buchmeiser,
Scratch resistant wood coatings based on nano/micro particle hybrid composites
The Nürnberg Congress, Nürnberg, 30.3.-1.4. (2007)
R. Joshi, T. K. Ghanty, S. Naumov, and T. Mukherjee ,
Ionized State of Hydroperoxy Radical-Water Hydrogen-Bonded Complex: (HO2-H2O)+
J. Phys. Chem. 111 (2007) 13590-13594
J. Bonitz, C. Kaufmann, T. Gessner, C. Bundesmann, H. Neumann, U. Griebner, P. Tonak,
Hochreflektiver mikromechanischer Scanner für Materialbearbeitung und medizinische Anwendungen
8. Chemnitzer Fachtagung Mikrosystemtechnik, Chemnitz, 14./15.11. (2007) 38-43
R. Flyunt,
Ionizing Radiation and Ozone in Environmental Studies: Intermediates, Stable Products and Mechanistic Concepts, Adam Mickiewicz University Press, Poland.
Seria Chemia (2007) 1-138
N. Razek and A. Schindler,
Room-temperature ultra-high vacuum bonding of Ge/GaAs p-n heterojunction wafer using 300 eV hydrogen ion beam surfaces cleaning
J. Vac. Sci. Technol. A 25/5 (2007) 1480-1483
L. Aschke, M. Schweizer, J. Alkemper, A. Schindler, F. Frost, T. Hänsel and R. Fechner,
Substrate for the micro-lithography and process of manufacturing thereof
US Patent US 7279252 B2 (2007)
S. Mändl,
Energetic Treatment of Metallic Implants
in P.K. Chu and X. Liu (Eds), Biomaterials and Surface Modification, Research Signpost, (ISBN 978-81-308-0182-7) (2007) 137-172
2006
G. Laus, A. G. Müller, H. Schottenberger, K. Wurst, M. R. Buchmeiser, K.- H. Ongania,
Facile synthesis of new areneboronates as terminal ethyne monomers
Monatsh. Chem. (Chemical Monthly) 137 (2006) 69-75
D. Manova, J.W. Gerlach, H. Neumann, W. Assmann, S. Mändl,
Phase Formation in Ti after High Fluence/High Temperature Nitrogen Implantation
Nucl. Instrum. Meth. B 242 (2006) 282-284
D. Manova, G. Thorwarth, S. Mändl, H. Neumann, B. Stritzker, B. Rauschenbach,
Variable Lattice Expansion in Martensitic Stainless Steel after Nitrogen Ion Implantation
Nucl. Instrum. Meth. B 242 (2006) 285-288
J.W. Gerlach, S. Mändl ,
Correlation between RBS, Reflectometry and Ellipsometry Data for TiO2 Films Deposited on Si
Nucl. Instrum. Meth. B 242 (2006) 289-292
S. Mändl, B. Rauschenbach,
Formation of Transparent ZnO Layers by MePIIID
Nucl. Instrum. Meth. B 242 (2006) 293-295
R. Böhme, K. Zimmer, B. Rauschenbach,
Laser backside etching of fused silica due to carbon layer ablation
Appl. Phys. A 82 (2) (2006) 325-328
J.W. Gerlach, A. Hofmann, T. Höche, F. Frost, B. Rauschenbach, G. Benndorf,
High quality m-plane GaN films deposited on gamma-LiAlO2 by ion beam assisted molecular beam epitaxy
Appl. Phys. Lett. 88 (2006) 011902
C. Elsner, M.R. Buchmeiser,
Oberflächenanalytik an Polymeren
Nachr. Chem. 54 (2006) 141-144
C. von Sonntag,
Free-Radical-Induced DNA Damage and Its Repair. A Chemical Perspective
Springer Verlag Heidelberg (2006)
E. Schubert, J. Fahlteich, Th. Höche, G. Wagner, B. Rauschenbach,
Chiral silicon nanostructures
Nucl. Instrum. Meth. B 244 (2006) 40-44
R. Böhme, K. Zimmer,
Ultraglatt und hochpräzise: Laserabtrag an der Rückseite transparenter Dielektrika
Photonik 1 (2006) 50-52
R. Böhme, K. Zimmer,
Effects of halogenated organic solvents at laser-induced backside wet etching
Appl. Phys. A 83 (2006) 9-12
S. Lubbad, S. A. Steiner, J. S. Fritz, M. R. Buchmeiser,
Metathesis polymerization-derived monolithic membranes for solid-phase extraction coupled with diffuse reflectance spectroscopy
J Chromatogr. A 1109 (2006) 86-91
H.-J. Gläsel, E. Hartmann, L. Wennrich, R. Mehnert, C. Thieroff, M. R. Buchmeiser,
Novel basic aluminium carboxylate nanopowder reinforced polyacrylate, polyurethane, epoxide and polyolefin matrices
Polym. Mater.: Sci. Eng. 94 (2006) 785-786
M. R. Buchmeiser,
Metathesis Polymerization From and To Surfaces
Adv. Polym. Sci. 197 (2006) 137-171
C. Elsner, M. Lenk, L. Prager, R. Mehnert,
Windowless argon excimer source for surface modification
Appl. Surf. Sci. 252 (2006) 3616-3624
E. Erdem, H.-C. Semmelhack, R. Böttcher, H. Rumpf, J. Banys, A. Matthes, H.-J. Gläsel, D. Hirsch. E. Hartmann,
Study of the tetragonal-to-cubic phase transition in PbTiO3 nanopowders
J. Phys.: Condens. Mat. 18 (2006) 3861-3874
Y. Zhang, D. Wang, P. Lönnecke, T. Scherzer, M. Buchmeiser,
Novel initiators for thermally and uv-triggered romp
Macromol. Symp. 236 (2006) 30-37
E. Twerdowski, R. Wannemacher, N. Razek, A. Schindler, W. Grill,
Application of spatially and temporally apodized non-confocal acoustic transmission microscopy to imaging of directly bonded wafers
ULTRASONICS 44 (2006) 54-63
R. Böhme, S. Pissadakis, M. Ehrhardt, D. Ruthe, K. Zimmer,
Ultra-short laser processing of transparent material at the interface to liquid
J. Phys. D 39 (2006) 1398-1404
J. H. Peters, M. Tartz, H. Neumann,
Using design of experiments method to model beamlet properties
Rev. Sci. Instrum. 77 (2006) 03B905
F. Scholze, H. Neumann, M. Tartz, J. Dienelt, H. Schlemm,
Ion current density profile control of a scalable linear ion source and its application
Rev. Sci. Instrum. 77 (2006) 03C107
B. Ziberi, F. Frost, B. Rauschenbach,
Pattern transitions on Ge surfaces during low-energy ion beam erosion
Appl. Phys. Lett. 88 (2006) 173115
T. Scherzer, L. Prager, W. Knolle, S. Naumov,
Photoinitiator-free Photopolymerization of Acrylates Using Short-Wavelength Excimer UV Radiation
Proc. e|5 UV & EB Technology Conference, Chicago, 24.-26.4. (2006)
T. Scherzer, H. Lucht,
In-line Monitoring of the Conversion in UV-Cured Coatings by NIR Spectroscopy
NIR News 17 (2006) 6-16
M. G. Mayershofer, O. Nuyken, M. R. Buchmeiser,
Binuclear Schrock-Type Alkylidene Triggered ROMP and Cyclopolymerization of 1,6-Heptadiynes: Access to An-X-An and An-b-Bm-X-Bm-b-An type block copolymers
Macromolecules 39 (2006) 2452-2459
Y.M. Riyad, S. Naumov, R. Hermann, and O. Brede,
Deactivation of the first excited singlet state of thiophenols
Phys. Chem. Chem. Phys. 8 (2006) 1697-1706
R. Grigalaitis, J. Banys, S. Lapinskas, E. Erdem, R. Böttcher, H.-J. Gläsel, E. Hartmann,
Dielectric investigations and theoretical calculations of size effect in lead titanate nanocrystals
Mater. Sci. Forum 514 (2006) 514-516
F. Bauer, E. Bilz, W. H. Chen, A. Freyer, S. B. Liu, V. Sauerland,
Coke formation on zeolites HZSM-5 and HFER
10th International Symposium on Catalyst Deactivation, Berlin, 05.-08.03. (2006) LMP 37
F. Bauer, E. Bilz, W. H. Chen, A. Freyer, S. B. Liu, V. Sauerland,
Coke selectivation of zeolites HZSM-5 and HFER
18. Deutsche Zeolith-Tagung, Hannover, 01.-03.03. (2006) 109-110
F. Bauer, E. Bilz, A. Freyer,
C-14 tracer studies in zeolite catalysis
15th Radiochemical Conference, Marianske Lazne, Czech Republic, 23.-28.04. (2006) 197
F. Bauer, R. Flyunt, K. Czihal, M. R. Buchmeiser, H. Langguth, R. Mehnert,
Nano/micro particle hybrid composites for scratch and abrasion resistant polyacrylate coatings
Macromol. Mater. Eng. 291 (2006) 493-498
F. Bauer,
Functionalized inorganic/organic nanocomposites as new basic raw materials for adhesives and sealants
Pitture e vernice - European coatings 82(5) (2006) 35
C. von Sonntag,
The basics of oxidants in water treatment. Part A: OH radical reactions
4th Int. Conference on Oxidation Technologies for Water and Wastewater Treatment, Goslar, 15.-17.05., A. Vogelpohl, M. Sievers, S.-U. Geißen, Eds, Cutec Institut, Papierflieger Verlag, Clausthal-Zellerfeld (2006) 37-40
T. Nöthe, D. Hartmann, J. von Sonntag, C. von Sonntag, H. Fahlenkamp,
Elimination of the musk fragrances galaxolide and tonalide from wastewater by ozonation and concomitant stripping
4th Int. Conference on Oxidation Technologies for Water and Wastewater Treatment, Goslar, 15.-17.05., A. Vogelpohl, M. Sievers, S.-U. Geißen (Eds.) Cutec Institut, Papierflieger Verlag, Clausthal-Zellerfeld (2006) 162-167
V. Dyínek, K. Vacek, G. Yuzhakov, Z. Bastl, S. Naumov,
Hydrogen related point defects in silicon based layers: =Si(.)H and aSiOOH.
Surf. Sci. 600 (2006) 1462-1467
D. Manova, S. Mändl, H. Neumann, B. Rauschenbach,
Influence of Annealing Conditions on Ion Nitriding of Martensitic Stainless Steel
Surf. Coat. Tech. 200 (2006) 6563 - 6567
Y. Bohne, D.M. Seeger, C. Blawert, W. Dietzel, S. Mändl, B. Rauschenbach ,
Influence of Ion Energy on Properties of Mg Alloy Thin Films Formed by Ion Beam Sputter Deposition
Surf. Coat. Tech. 200 (2006) 6527 6532
S. Mändl, A. Fleischer, D. Manova, B. Rauschenbach,
Wear Behaviour of NiTi Shape Memory Alloy after Oxygen-PIII Treatment
Surf. Coat. Tech. 200 (2006) 6225 - 6229
J. Dienelt, H. Neumann, M. Kramer, F. Scholze, B. Rauschenbach, M. Nestler, A. Tarraf, M. Schulze,
A new mask blank deposition tool
Microelectronic Engineering 83 (2006) 718-722
R. Böhme, T. Otto, K. Zimmer,
In situ reflectivity investigations of solid/liquid interface during laser backside etching
Appl. Surf. Sci. 252 (2006) 4763-4767
R. Böhme, D. Hirsch, K. Zimmer,
Laser etching of transparent materials at a backside surface adsorbed layer
Appl. Surf. Sci. 252 (2006) 4763-4767
K. Zimmer, D. Ruthe, R. Böhme,
Direct fabrication of microoptical elements by laser etching
Paper Nr. 1.2, Conference OPTO 2006 at SENSOR + TEST 2006, Nürnberg, 30.5.-1.6. (2006) 33-38
J. Zajadacz, K. Zimmer, N. Bogdanski, H.-C. Scheer,
3D microstructures with undercuts and their replication by hot-embossing
6. euspen International Conference, Baden bei Wien, 28.05.-01.06. (2006) Volume 2, 469-472
R. Böhme, K. Zimmer,
Laser etching of periodic 1D- and 2D submicron relief gratings on pre-structured fused silica surface
Photonics Europe, SPIE, 6182, Strasbourg, 03.-07.04 (2006) 147-157
J. von Sonntag, R. Mehnert, M. Getzmann, A. Braun,
Nanokompositsynthese mit einer TORUSMILL für die Kratzfestbeschichtung flexibler Solarzellen
Lackfarben- und Feststoffe und Anwendungen 5 (2006) 26-29
M. R. Buchmeiser,
Recent developments in the surface modification of polymers
Monatsh. Chem. (Chemical Monthly) 137 (2006) 825-833
St. Jankuhn, F. Scholze, E. Hartmann, H. Neumann,
Simulation of gridded broad-beam ion sources for ultra-precise surface processing
Rev. Sci. Instrum. 77 (2006) 03B709
R. Bandari, A. Prager-Duschke, C. Kühnel, U. Decker, B. Schlemmer, M. R. Buchmeiser,
Tailored ring-opening metathesis polymerization derived monolithic media prepared from cyclooctene-basid monomers and cross-linkers
Macromolecules 39 (2006) 5222-5229
M. G. Mayershofer, O. Nuyken, M. R. Buchmeiser,
Bi- and Trinuclear Ruthenium Alkylidene Triggered cyclopolymerization of 1,6-Heptadiynes: Access to An-X-An Block and (An)3X Tristar copolymers
Macromolecules 2006 (2006) 3484-3493
D. Wang, R. Kröll, M. Mayr, K. Wurst, M. R. Buchmeiser,
Polymer-supported chiral Schrock catalysts immobilized via the arylimido ligand
Adv. Synth. Catal. 348 (2006) 1567-1579
F. Lange, S. Cornelissen, D. Kubac, M. M. Sein, J. von Sonntag, C. B. Hannich, A. Golloch, H. J. Heipieper, M. Möder, C. von Sonntag,
Degratation of macrolide antibiotics by ozone: A mechanistic case study with clarithromycin
Chemosphere 65 (2006) 17-23
L. Prager, B. Marquardt, T. Bahners, E. Schollmeyer,
Improved dirt take-up and cleanability of textile roofs made of PET/PVC - Part I
Technical Textiles/Technische Textilien 49 (2006) E140-E142
A. Wo´jcik, S. Naumov, B. Marciniak, and O. Brede,
Repair reactions of pyrimidine-derived radicals by aliphatic thiols
J. Phys. Chem. B 110 (2006) 12738-12748
S. Kapoor, M. Rele, S. Hegde, S. Naumov and T. Mukherjee,
Photophysical characteristics and density functional theory calculations of indole 2-carboxylic acid in the presence of mercurous ions.
Res. Chem. Intermed. 32 (2006) 637-645
T. Scherzer, S. Müller, R. Mehnert, A. Volland, H. Lucht,
Process control in UV curing : In-line monitoring of the acrylate conversion by Near-Infrared spectroscopy
JCT CoatingsTech 3 (2006) 30-37
R. Böhme, K. Zimmer, B. Rauschenbach,
Direct laser etching of transparent materials: High quality surface patterning and figuring for micro-optical applications
Seventh International Conference on Correlation Optics, Proc. of SPIE 6254 (2006) 203-211
T. Rudolph, K. Zimmer and T. Betz,
Microstructuring of UV-transparent functionalised films on glass by excimer laser irradiation
Mat. Sci. Eng. C 26 (2006) 1131-1135
B. Ziberi, F. Frost, B. Rauschenbach,
Formation of large-area nanostructures on Si and Ge surfaces during low-energy ionbeam erosion
J. Vac. Sci. Technol. A 24 (2006) 1344
K. Zimmer, R. Böhme, D. Ruthe, B. Rauschenbach,
Backside laser etching of fused silica using liquid gallium
Appl. Phys. A 84 (2006) 455-458
S. Mändl, J.K.N. Lindner,
Oxygen Depth Profiling by Resonant RBS in NiTi after Oxygen Plasma Immersion Ion Implantation
Nucl. Instrum. Meth. B 249 (2006) 355-357
S. Mändl, Y. Bohne, J.W. Gerlach, W. Assmann, B. Rauschenbach,
Complementary Information from RBS, ERDA and SIMS for Analysis of Modern Magnesium Alloys
Nucl. Instrum. Meth. B 249 (2006) 297-301
B. Ziberi, F. Frost, T. Höche, B. Rauschenbach,
Ion-induced self-organized dot and ripple patterns on Si surfaces
Vacuum 81 (2006) 155-159
D. Manova, I.-M. Eichentopf, D. Hirsch, S. Mändl, H. Neumann, B. Rauschenbach,
Influence of Microstructure on Nitriding Properties of Stainless Steel
IEEE Plasma Sci. 34 (2006) 1136-1140
F. Frost, H. Takino, R. Fechner, A. Schindler, N. Ohi, K. Nomura,
Sub-Nanometer Smoothing of Diamond-turned Metal Surfaces using Ion Beams
in: 'Towards Synthesis of Micro-/Nano-systems', Eds. F. Kimura and K. Horio, Springer-Verlag (2006) 239
R. Böhme, S. Pissadakis, D. Ruthe, K. Zimmer,
Laser backside etching of fused silica with ultra-short pulses
Appl. Phys. A 85 (2006) 75-78
T. Bahners, K. Opwis, E. Schollmeyer, B. Marquardt, L. Prager,
Selbstreinigende Lack-Topcoats auf Planen und Membranen durch photonisch induzierte Mikrofaltung
DTNW-Mitteilung ISSN 1430-1954 53 (2006) 1-52
P. Seidel, T. Hänsel, A. Nickel, I. Bucsi, H.J. Thomas, A. Schindler,
Control of the local etching or deposition for surface modification with pulsed ion beams
Patent JP2006 (2006) 113136
M. Flury, N. Lyndin, R. Fechner, A. Schindler, S. Tonchev, M. Spajer, Y. Ouerdane, N. Destouches, D. Pietroy, S. Reynaud, O. Parriaux,
Resonant grating pulse compression element with 99% flat top efficiency for high average power femtosecond laser machining
Proceeding of SPIE, vol. 6187, pp. 436-442 6187 (2006) 436-442
H.-J. Gläsel, E. Hartmann, L. Wennrich, M. R. Buchmeiser,
Neuartige Aluminiumcarboxylat-Nanofüllstoffe: Für polymere Schutzbeschichtungen
Automotive Materials 05 (2006) 29-31
C. Bundesmann, A. Rahm, M. Lorenz, M. Grundmann, M. Schubert,
Infrared optical properties of MgxZn1-xO thin films: Long-wavelength optical phonons and dielectric constants
J. Appl. Phys. 99 (2006) 113504
J.M. Zhang, F. Paumier, Th. Höche, F. Heyroth, F. Syrowatka, R.J. Gaboriaud, H.S. Leipner,
Electron energy-loss spectroscopy investigation of Y2O3 films on Si (001) substrate
Thin Solid Films 495 (2006) 266-272
Th. Höche,
Cross-Sectional High-Resolution Transmission Electron Microscopy at Mo/Si Multilayer Stacks
Int J Mat Res (formerly Z Metallkd) 97 (2006) 1046-1051
Th. Höche, M. Grodzicki, F. Heyroth, P.A. van Aken,
Assessment of Transition-Metal Coordination in Glasses by Electron Energy-Loss Spectroscopy
Phys. Rev. B 72 (2006) 205111
M. Tartz, E. Hartmann, H. Neumann,
Validated Ion Thruster Grid Lifetime Simulation
Paper Nr. AIAA-2006-5001, 42nd Joint Propulsion Conference, Sacramento, CA, 9.-12.7. (2006)
T.Hänsel, P.Seidel, A.Nickel, A.Schindler, B.Rauschenbach,
Deterministic ion beam figuring of surface errors in the sub-millimeter spatial wavelength range
6th international conference of the european society for precision engineering and nanotechnology, Baden bei Wien, 28.05.-01.06. (2006) 24-27
Th. Höche, J.W. Gerlach, T. Petsch,
Static-Charging Mitigation and Contamination Avoidance by Selective Carbon Coating of TEM Samples
ULTRAMICROSCOPY 106 (2006) 981-985
N. Janakiraman, Th. Höche, J. Grins, S. Esmaeilzadeh,
Synthesis and Phase Evolution of Mg-Si-C-(N) Ceramics Prepared by Pyrolysis of Mg Metal Filled Polymers
J MATER CHEM 16 (2006) 3844-3853
B. Ziberi, F. Frost, B. Rauschenbach,
Self-organized dot patterns on Si surfaces during noble gas ion beam erosion
Surf. Sci. 600 (2006) 3757
Th. Höche, R. Böhme, J.W. Gerlach, B. Rauschenbach, F. Syrowatka,
Excimer-Laser Synthesis of Nanosized Gold-Dot Patterns
PHIL MAG LETT 86 (2006) 661-667
A. Sidorenko, H. Peisert, H. Neumann, T. Chasse ,
GaN nucleation on (0001)-sapphire via ion-induced nitridation of gallium
Appl. Surf. Sci. 252 (21) (2006) 7671-7677
Th. Höche, F. Schrempel, M. Grodzicki, P.A. van Aken, F. Heyroth,
Experimental Assessment of Structural Differences between Amorphous and Amorphized Matter
CHEM MATER 18 (2006) 5351-5354
L. Prager, B. Marquardt, T. Bahners, E. Schollmeyer,
Improved dirt take-up and cleanability of textile roofs made of PET/PVC - Part 2
Technical Textiles/Technische Textilien 49 (2006) E179-E183
M.R. Buchmeiser,
Recent Progress in Methathesis Chemistry
Chim. Oggi - Chemistry Today 24 (2006) 8-11
B. Schlemmer, C. Gatschelhofer, T. R. Pieber, F. M. Sinner, M. R. Buchmeiser,
Poly(cyclooctene)-based monolithic columns for capillary high performance liquid chromatography prepared via ring-opening metathesis polymerization
J. Chromatogr. A 1132 (2006) 124-131
Y. S. Vygodskii, A. S. Shaplov, E. I. Lozinskaya, O. A. Filippov, E. S. Shubina, R. Bandari, M. R. Buchmeiser,
Ring-opening metathesis polymerziation (ROMP) in ionic liquids: Scope and limitations
Macromolecules 39 (2006) 7821-7830
K. Zimmer, R. Böhme, D. Hirsch, B. Rauschenbach,
Backside etching of fused silica with UV laser pulses using mercury
J. Phys. D Appl. Phys. 39 (2006) 4651-4655
H. Kersten, R. Wiese, H. Neumann, R. Hippler,
Interaction of ion beams with dusty plasmas
PLASMA PHYS CONTR F 48 (2006) B105-B113
O. Brede and S. Naumov,
Femtodynamics Reflected in Nanoseconds: Bimolecular Free Electron Transfer in Nonpolar Media
J. Phys. Chem. B 110 (2006) 11906-11918
K.Zimmer, R. Böhme, D. Ruthe, B. Rauschenbach,
Laserätzen an der Rückseite transparenter Materialien - Stand und weitere Entwicklungen
18th International Scientific Conference Mittweida, Mittweida, 09.-11. Nov. (2006) 35-40
D. Ruthe, K. Zimmer, T. Höche, B. Rauschenbach,
Strukturierung und Modifizierung dünner Schichten mit ultrakurzen Pulsen für elektronische Anwendungen
18th International Scientific Conference Mittweida, Mittweida, 09.-11.11. (2006) 108-111
I. Gurrappa, D. Manova, J.W. Gerlach, S. Mändl, B. Rauschenbach,
Influence of nitrogen implantation on the high temperature oxidation of titanium-base alloys
Surf. Coat. Tech. 201 (2006) 3536 - 3546
K. Zimmer, R. Bohme, S. Pissadakis, L. Hartwig, G. Reisse, B. Rauschenbach,
Backside etching of fused silica with Nd:YAG laser
Appl. Surf. Sci. 253 (2006) 2796-2800
I. Gurrappa, D. Manova, J.W. Gerlach, S. Mändl, B. Rauschenbach,
Effect of plasma immersion ion implantation and deposition on high temperature oxidation of titanium alloy IMI 834-aluminizing
Journal of Alloys and Compounds 426 (2006) 375 - 383
F. Bauer, U. Decker, H. Ernst, M. Findeisen, H. Langguth, R. Mehnert, V. Sauerland, R. Hinterwaldner,
Functionalized inorganic/organic nanocomposites as new basic raw materials for adhesives and sealants, Part 2
Int J Adhes Adhes 26 (2006) 567-570
F. Bauer, H. Ernst, R. Flyunt, H. J. Gläsel, E. Hartmann, V. Sauerland, M. R. Buchmeiser, R. Mehnert,
Surface characterization of modified inorganic nanoparticles for UV curable, abrasion and scratch resistant nanocomposites
Produktgestaltung in der Partikeltechnologie (U. Teipel, Hrsg.) Stuttgart 3 (2006) 363-376
F. Bauer, H. G. Karge ,
Characterization of coke on zeolites
Molecular Sieves-Science and Technology, Springer-Verlag, Berlin 5 (2006) 249-364
F. Bauer, W. H. Chen, V. Sauerland, S. B. Liu,
Coke selectivation of zeolites HZSM-5 and HFER
International Symposium on Zeolites and Microporous Crystals, Yonago (Japan), 31.07.-02.08. (2006) 56
A. Wojcik, A. Bochenek, A. Lankoff, H. Lisowska, A. Padjas, I. Szumiel, C. von Sonntag, G. Obe,
DNA interstrand crosslinks are induced in cells prelabelled with 5-bromo-2`-deoxyuridine and exposed to UVC radiation
J. Photochem. Photobiol. B. Biol. 84 (2006) 15-20
E. Schubert, J. Fahlteich, B. Rauschenbach, M. Schubert, M. Lorenz, M. Grundmann, G. Wagner,
Recrystallization behavior in chiral sculptured thin films from silicon
J. Appl. Phys. 100 (2006) 016107
W. Knolle, I. Janovský, S. Naumov, F. Williams,
EPR studies of amine radical cations. Part 2. Thermal and photo-induced rearrangements of Propargylamine and Allylamine radical cations in low-temperature freon matrices
J. Phys. Chem. A 110 (2006) 13816-13826
F. Bauer, E. Bilz, A. Freyer,
C-14 Tracer Studies in Zeolite Catalysis
Czech J Phys 56 (2006) D417-424
C. Bundesmann, M. Lorenz, M. Grundmann, M. Schubert,
Phonon modes, dielectric constants, and exciton mass parameters in ternary MgxZn1-xO
Mat. Res. Soc. Symp. Proc. 928E (2006) GG05-03
M. Buchmeiser, D. Wang, S. Naumov, K. Wurst,
Synthesis, X-ray structure and reactivity of µ-(CF3COO)2-(Mo(N-2,6-i-Pr2-C6H3)(CHCMe2Ph)(OOCCF3)(Et2O))2, the first Bis(trifluoroacetate) derivative of a Schrock catalyst
J. Organomet. Chem. 691 (2006) 5391-5396
R. Böhme, K. Zimmer, D. Ruthe, B. Rauschenbach,
Backside etching at the interface to diluted medium with nanometer etch rates
Journal of Laser Micro/Nanoengineering 1 (2006) 190-194
K. Zimmer, R. Böhme, B. Rauschenbach,
Enhancing the etch rate at backside etching of fused silica
Journal of Laser Micro/Nanoengineering 1 (2006) 292-296
Y. Bohne, D. Manova, C. Blawert, M. Störmer, W. Dietzel, S. Mändl,
Development of Novel Microcrystalline Mg Alloys as Corrosion Protection Layers
7th Int. Conf. on Magnesium Alloys and Their Applications, Dresden, 6.-9.11. (2006)
Evgeny Twerdowski , Moritz von Buttlar , Nasser Razek , Reinhold Wannemacher ,Axel Schindler, Wolfgang Grill,
Combined surface-focused acoustic microscopy in transmission and scanning ultrasonic holography
Ultrasonics 44 (2006) e1301 - e1305
Evgeny Twerdowski , Reinhold Wannemacher, Nasser Razek, Axel Schindler, Wolfgang Grill,
Application of spatially and temporally apodized non-confocal acoustic transmission microscopy to imaging of directly bonded wafers
Ultrasonics 44 (2006) 54 - 63
R. Grigalaitis, J. Banys, E. Erdem, R. Böttcher, H.-J. Gläsel, E. Hartmann,
Dielectric investigations and theoretical calculations of size effect in lead titanate nanocrystals
IEEE Transactions Ultrasonics Ferroelectrics and Fequency Control 53 (2006) 2270-2274
E. Erdem, R. Böttcher, A. Matthes, H.-J. Gläsel, E. Hartmann,
Ferroelectric nanomaterials: Size effects and polymeric nanocomposites
Int. Conf. Nanostruct. Mater. - Nanomat, Antalya, 20.-24.06. (2006)
L. Prager,
Excimerstrahler und Anwendungen
51. AK-Sitzung Strahlenchemische Veredlung bahnförmiger Materialien, FH München, 30.06. (2006)
L. Prager, T. Bahners, B. Marquardt, E. Schollmeyer,
Funktionelle Lack-Topcoats zur Verbesserung der Abreinigung von Dachmembranen
Polymerwerkstoffe 2006, W. Grellmann, H. Kausche, J. Kreßler, T. Thurn-Albrecht, Eds., M-L-Universität Halle-Wittenberg, Halle/Saale, 27.-29.09. (2006) 213
S. Hegde, S. Kapoor, S. Naumov, S. Joshi, T. Mukherjee ,
Possible binding sites for biotin stabilized water soluble Ag nanoparticles: An experimental and theoretical study
JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY 6 (2006) 2547-2553
A. Sidorenko, H. Peisert, H. Neumann, T. Chassé,
GaN nucleation on (0001)-sapphire via ion-induced nitridation of gallium
Appl. Surf. Sci. 252 (2006) 7671-7677
R. Flyunt, K. Czihal, F. Bauer, R. Mehnert, M. R. Buchmeiser, H. Bauch, R. Emmler ,
Development of Scratch- and Abrasion Resistant UV-Coatings for Wood Surfaces Based on Solvent-Poor Nanocomposite Lacquers
Fifth International Woodcoatings Congress, Prague, 17-18.10. (2006)
2005
E. Schubert, S. Mändl, H. Neumann, B. Rauschenbach,
Real-time in situ spectroscopic ellipsometry investigation of the amorphous to crystalline phase transition in Mo single layers
Appl. Phys. A 80 (2005) 47-50
E. Schubert, N. Razek, F. Frost, A. Schindler, B. Rauschenbach,
GaAs surface cleaning by low-energy hydrogen ion bombardment at moderate temperatures
J. Appl. Phys. 97 (2005) 023511-1-8
R. Böhme, J. Zajadacz, K. Zimmer, B. Rauschenbach,
Topography and roughness evolution of microstructured surfaces at laser-induced backside wet etching
Appl. Phys. A 80 (2005) 433-438
I. Janovský, S. Naumov, W. Knolle, R. Mehnert,
Radiation-induced polymerisation of 2,3-dihydrofuran: free-radical or cationic mechanism?
Radiat. Phys. Chem. 72 (2005) 125-133
T. Scherzer, H. Langguth,
Temperature Dependence of the Oxygen Solubility in Acrylates and its Effect on the Induction Period in UV Photopolymerization
Macromol. Chem. Phys. 206 (2005) 240-245
M. N. Schuchmann, S. Naumov, H-P. Schuchmann, J. von Sonntag, C. von Sonntag,
4-Amino-3H-pyrimidin-2-one (isocytosine) is a short-lived non-radical intermediate formed in the pulse radiolysis of cytosine in aqueous solution
Radiat. Phys. Chem. 72 (2005) 243-250
J. von Sonntag, M. Getzmann, A. Braun, R. Mehnert,
Nanokompositsynthese mit einer TORUSMILL für die Kratzfestbeschichtung flexibler Solarzellen
Coating, Klebstoffe-Lacke-Druckfarben 1 (2005) 16-17
V. Drinek, K. Vacek, J. Pola, G. Yuzhakov, O. Solcová, S. Naumov,
Characterization of deposits produced by TEA CO2 pulsed laser ablation of silicon mono- and dioxide
J. Non-Cryst. Solids 351 (2005) 116-123
M. Mayr, R. Kröll, D. Wang, N. Schuler, S. Prühs, C. W. Lehmann, A. Fürstner, M. R. Buchmeiser,
Monolithic Disk-Supported Metathesis Catalysts for Use in Combinatorial Chemistry
Adv. Synth. Catal. 347 (2005) 484-492
N. Imlinger, K. Wurst, M. R. Buchmeiser,
Novel Immobilized Hydrosilylation Catalysts Based on Rhodium 1,3-Bis(2,4,6-trimethylphenyl)-3, 4, 5, 6-tetrahydropyrimidin-2-ylidenes
Monatsh. Chem. 136 (2005) 47-57
M. R. Buchmeiser,
Regioselective polymerization of 1-alkynes and stereoselective cyclopolymerization of a ,w -Heptadiynes
Adv. Polym. Sci. (Springer, M. R. Buchmeiser, Ed.) 176 (2005) 89-120
M. R. Buchmeiser,
Metathesis Polymerisation
Adv. Polym. Sci. (Springer, M. R. Buchmeiser, Ed.) 176 (2005) 1-120
T. S. Halbach, S. Mix, J. O. Krause, C. Sievers, D. Fischer, S. Maechling, S. Blechert, O. Nuyken, M. R. Buchmeiser,
Novel ruthenium-based metathesis catalysts containing electron-withdrawing ligands: Synthesis, immobilization and reactivity
J. Org. Chem. 70 (2005) 4687-4694
T. S. Halbach, J. O. Krause, O. Nuyken, M. R. Buchmeiser,
Stereoselective Cyclopolymerization of Polar Hepta-1,6-diynes by Novel, Taylor-Made Ruthenium-Based Metathesis Catalysts
Macromol. Rapid. Comm. 26 (2005) 784-790
T. S. Halbach, J. O. Krause, O. Nuyken, M. R. Buchmeiser,
Controlled Stereoselective Cyclopolymerization of Polar Hepta-1,6-diynes Using RuX2(NHC)(=CHR) (NHC = 1,3-dimesityldihydroimidazolin-2-ylidene; X = Cl, CF3COO; R = 2-(2-PrO)-C6H 4; 2,4,5-(MeO)3-C6H 2) and RuCl 2(PCy3)2(NHC)(=CHPh)
Polym. Prepr. (Am. Chem. Soc., Div. Polym. Chem.) 46 (2005) 615-616
N. Imlinger, K. Wurst, M. R. Buchmeiser,
Rh(1,3-bis(2,4,6-trimethylphenyl)-3, 4, 5, 6-tetrahydropyrimidin-2-ylidene)(COD) Tetrafluoroborate, an Unsymmetrical Rh-Homoazallylcarbene: Synthesis, X-ray Structure and Reactivity in Carbonyl Arylation and Hydrosilylation Reactions
J. Organomet. Chem. 690 (2005) 4433-4440
H.-J. Gläsel, S. Rummel, E. Hartmann, F. Bauer, R. Mehnert, W. Böhlmann,
Radiation cured protective nanocomposites
DECHEMA Conf, on Surf. Interf. - Engin. at the Nanoscale, Frankfurt, 7.-9.03. (2005) 34
F. Bauer, H.-J. Gläsel, E. Hartmann, H. Ernst, V. Sauerland, R. Mehnert,
Surface characterization of modified inorganic nanoparticles for UV curable nanocomposites
DECHEMA conf. on Surf. Interf. - Engin. at the Nanoscale, Frankfurt, 7.-9.03. (2005) 31
S. Mändl, D. Manova, D. Hirsch, H. Neumann, B. Rauschenbach,
Comparison of Hardness Enhancement and Wear Mechanisms in Low Temperature Nitrided Austenitic and Martensitic Stainless Steel
Mater. Res. Soc. Symp. Proc. 843 (2005) T3.9.1-T3.9.5
A. Schindler, F. Frost, A. Nickel, T. Hänsel, B. Rauschenbach,
Ion beam smoothing of surfaces
1st Vienna Intern. Conf. on Mico- and Nano-Technology , Wien, 9.-11.3. (2005) 367-374
B. Ziberi, F. Frost, B. Rauschenbach,
Dot pattern formation on Si surfaces by low-energy ion beam erosion
MRS Proceedings Vol. 849 (2005) KK 6.2
K. Zimmer, R. Böhme, B. Rauschenbach,
Adsorbed layer etching of fused silica by excimer laser with nanometer depth precision
Microelectron. Eng. 78-79 (2005) 324-330
D. B. Naik, R. Hermann, T. Mukherjee, S. Naumov, O. Brede,
Ionization of mercapto- and hydroxypyridines by free electron transfer to alkylchloride parent radical cations
Res. Chem. Intermediat 31, No1-3 (2005) 113-133
J. Dienelt, K. Zimmer, J. von Sonntag, B. Rauschenbach, C. Bundesmann,
Roughness and damage of a GaAs surface after chemically assisted ion beam etching with Cl2/Ar+
Microelectron Eng 78-79 (2005) 457-463
S. Mändl, B. Fritzsche, D. Manova, D. Hirsch, H. Neumann, E. Richter, B. Rauschenbach,
Wear Reduction in AISI 630 Martensitic Stainless Steel after Energetic Nitrogen Ion Implantation
Surf. Coat. Tech. 195 (2005) 258-263
D.M. Seeger, C. Blawert, W. Dietzel, Y. Bohne, S. Mändl, B. Rauschenbach,
Comparison of As-Cast and Plasma Deposited Commercial Magnesium Alloys
Magnesium Technologie 2005, Edited by Neelameggham, H.I. Kaplan and B.R. Powell, TMS (The Minerals, Metals & Materials Society) (2005) 323-328
S. Mändl, W. Attenberger, B. Stritzker, B. Rauschenbach,
Disorder Formation in Rutile During Ion Assisted Deposition
Surf. Coat. Tech. 196 (2005) 76-80
S. Mändl, J.W. Gerlach, B. Rauschenbach,
Surface Modification of NiTi for Orthopaedic Braces by Plasma Immersion Ion Implantation
Surf. Coat. Tech. 196 (2005) 293-297
R. Grigalaitis, J. Banys, S. Lapinskas, E. Erdem, R. Böttcher, H.-J. Gläsel, E. Hartmann,
Dielectric investigations and theoretical investigations of size effects in lead titatnate nanocrystals
III. Int. Mater. Symp. , Lisabon, 20.-23.03.2005 (2005) 355-359
R. Grgalaitis, J. Banys, E. Erdem, R. Böttcher H.-J. Gläsel, E. Hartmann,
Dielectric investigations and theoretical calculations of size effects in lead titanate nanocrystals
III. Mater. Symp., Lisabon, 20.-23.03. (2005) 355-359
C. von Sonntag,
Ionizing Radiation Damage to DNA
Encyclopedia of Molecular Cell Biology and Molecular Medicine 7 (2005) 149-156
E. Schubert, F. Frost, B. Ziberi, G. Wagner, H. Neumann, B. Rauschenbach,
Ion beam sputter deposition of soft x-ray Mo/Si multilayer mirrors
J. Vac. Sci. Technol. B 23 (2005) 959-965
E. Schubert, T. Höche, F. Frost, B. Rauschenbach,
Nanostructure fabrication by glancing angle ion beam assisted deposition of silicon
Appl. Phys. A online (2005) 1-6
R. Böhme, K. Zimmer,
The influence of the laser spot size and the pulse number on backside wet etching
Appl. Surf. Sci. 247 (2005) 256-261
S. Naumov, C. von Sonntag,
UV-visible absorption spectra of alkyl-, vinyl-, aryl- and thiylperoxyl radicals and some related radicals in aqueous solution: a quantum-chemical study
J. Phys. Org. Chem. 18 (2005) 586 - 594
S. Naumov, I. Janovsky, W. Knolle, R. Mehnert, D. A. Turin,
Low-temperature EPR and quantum chemical study of lactone radical cations and their transformations
Radiat. Phys. Chem. 73 (2005) 206-212
S. Naumov, I. Janovsky, W. Knolle,
Oh the radiation-induced polymerisation of cyclic and aliphatic vinyl ethers
RadTech Asia , Shanghai, China, 23.-26.05. (2005) 607-613
E. Schubert,
Chirale dreidimensionale Festkörper-Nanostrukturen
nanospotlight 2 (2005) 6
T. Scherzer, R. Mehnert, A. Volland, H. Lucht,
Process and quality control during UV curing of acrylate coatings using near-infrared reflection spectroscopy
Proc. RadTech Asia 2005 Conference, Shanghai, 23.-26.5. (2005) 575-582
T. Scherzer, S. Müller, R. Mehnert, A. Volland, H. Lucht,
In-line determination of the conversion in acrylate coatings after UV curing using near-infrared reflection spectroscopy
Nucl. Instrum. Meth. B 236 (2005) 123-129
F. Bauer, U. Decker, A. Dierdorf, H. Ernst, R. Heller, H. Liebe, R. Mehnert,
Preparation of moisture curable polysilazane coatings. Part I. Elucidation of low temperature curing kinetics by FT-IR spectroscopy
Prog. Org. Coat. 53 (2005) 183-190
M. Ziese, R. Höhne, A. Billero, H.-C.Semmelhack, P. Esquinazi, K. Zimmer,
Size and shape dependence of the exchange-bais field in exchange-coupled ferrimagnetic bilayers
Eur. Phys. J. B 45 (2005) 223-230
K. Zimmer, R. Böhme,
Precise etching of fused silica for refractive and diffractive micro optical applications
Opt. Laser. Eng. 43 (2005) 1349-1360
M.R. Buchmeiser,
A Commentary on 'Bis(cyclopentadienyl)zirkon-Verbindungen und Aluminoxan als Ziegler-Katalysatoren für die Polymerisation und Copolymerisation von Olefinen' by W. Kaminsky, M. Miri, H. Sinn, R. Woldt (Makromol. Chem., Rapid Commun. 1983, 4, 417-421)
Macromol. Rapid Comm. 26 (2005) 1201-1207
W. Knolle, S. Naumov, M. Madani, C. von Sonntag,
Photochemistry of acrylates at 222 nm
Nucl. Instrum. Meth. B 236 (2005) 195-200
S. Naumov, I. Janovský, W. Knolle, R Mehnert,
Role of distonic dimer radical cations in the radiation-induced polymerisation of vinyl ethers
Nucl. Instrum. Meth. B 236 (2005) 461-467
A. Maroz, R. Hermann, S. Naumov, O. Brede,
Ionization of aniline and its N-methyl and N-phenyl substituted derivates by (free) electron transfer to n-butyl chloride parent radical cations
J. Phys. Chem. A109 (2005) 4690-4696
M. Tartz, D. Manova, H. Neumann, H. Leiter, J. Esch,
Sputter investigation of ion thruster grid materials
Paper Nr. AIAA-2005-4414, 41. Joint Propulsion Conference, Tucson, AZ, 10.-13.07. (2005)
T. Scherzer, S. Müller, R. Mehnert, A. Volland, H. Lucht,
In-line monitoring of the conversion in photopolymerized acrylate coatings on polymer foils using NIR spectroscopy
Polymer 46 (2005) 7072-7081
H. Leiter, L. Biagioni, F. Scortecci, M. Tartz, G. Noci, D. Feili,
The New Grid System for Ion Engines technology Project - A Status Review
Paper Nr. AIAA-2005-4409, 41. Joint Propulsion Conference, Tucson, AZ, 10.-13.07. (2005)
S. Mändl, D. Manova, H. Neumann, M.T. Pham, E. Richter, B. Rauschenbach, ,
Correlation Between PIII Nitriding Parameters and Corrosion Behaviour of Austenitic Stainless Steels,
Surf. Coat. Tech. 200 (2005) 104-108
D. Manova, S. Mändl, H. Neumann, B. Rauschenbach, ,
Wear Behaviour of Martensitic Stainless Steels after PIII Surface Treatment,
Surf. Coat. Tech. 200 (2005) 137-140
S. Mändl, J.W. Gerlach, B. Rauschenbach ,
Nitride Formation in Transition Metals During High Fluence High Temperature Implantation,
Surf. Coat. Tech. 200 (2005) 584-588
S. Mändl, G. Thorwarth, B. Stritzker, B. Rauschenbach, ,
Two-dimensional Texture and Sheath Evolution in Metal Plasma Immersion Ion Implantation,
Surf. Coat. Tech. 200 (2005) 589-593
Th. Arnold, S. Grabovski, A. Schindler, H.-E. Wagner,
Spatially resolved mass spectrometry of reactive Ar/SF6/N2 plasma jets
Surf. Coat. Tech. 200/1-4 (2005) 818-821
B. Ziberi, F. Frost, B. Rauschenbach, T. Höche,
Highly ordered self-organized dot patterns on Si surfaces by low-energy ion beam erosion
Appl. Phys. Lett. 87 (2005) 033113
J.W. Gerlach, T. Höche,
Multiple-textured gallium nitride prepared by ion beam assisted molecular beam epitaxy
Phys. Stat. Sol. A 202 (2005) 2361
F. Bauer, E. Bilz, A. Freyer,
C-14 studies in xylene isomerization on modified H-ZSM-5
Appl. Catal. A-Gen. 289 (2005) 2-9
F. Bauer, E. Bilz, W. H. Chen, A. Freyer, S. B. Liu ,
C-14 tracer studies in skeletal isomerization of n-butene
17. Deutsche Zeolith-Tagung, Giessen, 02.-04.03. (2005) 1-2
F. Bauer, U. Decker, H. Langguth, R. Mehnert, R. Hinterwaldner,
Functionalized inorganic/organic nanocomposites as new basic raw materials for adhesives and sealants
4th European Congress on Adhesive and Sealant Raw Materials, Nürnberg, 27.04. (2005) 43-50
J.A. García, R. Martínez, B. Lerga, G.G. Fuentes, R.J. Rodríguez, S. Mändl, ,
Tribological Improvements of Ion Implanted Technological Alloys: PI3 vs. Conventional Ion Implantation
Surf. Coat. Tech. 200 (2005) 573-578
C. Gatschelhofer, C. Magnes, T. R. Pieber, F. M. Sinner, M. R. Buchmeiser,
Evaluation of ring-opening metathesis polymerization (ROMP)-derived supports
J. Chromatogr. A 1090 (2005) 81-89
M. R. Buchmeiser,
In honor of Prof. Richard (Dick) R. Schrock on the occasion of his 60th birthday
Adv. Synth. Catal. 347 (2005) 321
M. R. Buchmeiser,
Zukunftstechnologie: Oberflächenveredelung mittels UV- und Elektronenstrahlhärtung
KC-aktuell 5 (2005)
M. R. Buchmeiser ,
Design and synthesis of supported catalysts on a molecular base
Catal. Today 105 (2005) 612-617
A. Kiesow, N. Teuscher, A. Heilmann, J. Meinhardt,
Corona treatment on polyethylene films at differenz ambient conditions - surface characterization and plasma diagnostics
2nd International Workshop on cold Atmospheric Pressure Plasmas: Sources and Applications , Bruges, Belgium, 30.08.-02.09. (2005) 267
D. Ruthe, K. Zimmer, Th. Höche,
Etching of CuInSe2 Thin Films - Comparison of Femtosecond and Picosecond Laser Ablation
Appl. Surf. Sci. 247 (2005) 447-452
T. Höche, F. Heyroth, M. Grodzicki, P.A. van Aken,
High-Resolution Electron Energy-Loss Spectroscopy at Transition-Metals in Glasses
phys status solidi A 202 (2005) 2355-2360
K. Schindler, M. Ziese, P. Esquinazi, H. Hochmuth, M. Lorenz, K. Zimmer, E. H. Brandt,
A novel method for the determination of the flux-creep exponent from higher harmonic ac-susceptibility measurements
Physica C 417 (2005) 141-149
C. Blawert, E. Morales, W. Dietzel, S. Jin, E. Ghali, S. Mändl, ,
Corrosion Properties of the Skin of High Pressure Die Cast AZ91 Alloy
Proc. Int. Symposium on Light Metals, ed. J.-P. Martin (Met. Soc, Toronto, 2005), Conference of Metallurgists, Calgary, Canada, 21. - 24.08. (2005) 109-126
A. Schindler, T. Hänsel, F. Frost, G. Böhm, W. Frank, A. Nickel, Th. Arnold, R. Schwabe, S. Gürtler, S. Görsch, B. Rauschenbach,
Modern Methods of Highly Precise Figuring and Polishing
Glass Science and Technology 78 (Suppl. C) (2005) 111
E. Eiper, A. Hofmann, J.W. Gerlach, B. Rauschenbach, J. Keckes,
Anisotropic intrinsic and extrinsic stresses in epitaxial wurtzitic GaN thin film on gamma-LiAlO2(100)
J. Cryst. Growth 284 (2005) 561
O. Brede, A. Maroz, R. Hermann and S. Naumov,
Ionization of cyclic aromatic amines by free electron transfer: products are governed by femtosecond molecule dynamics
J. Phys. Chem. B 109 (2005) 8081-8087
E. Erdem, R. Böttcher, H.-J. Gläsel, E. Hartmann, G. Klotzsche, D. Michel,
Size effects in BaTiO3 nanopowders studied by EPR and NMR
Ferroelectrics 316 (2005) 43-49
A. Wójcik, S. Naumov, B. Marciniak, R. Hermann, O. Brede ,
Thiyl radical interaction with pyrimidine C5-C6 double bond
J. Phys. Chem. B 109 (2005) 15135-15144
F. Bauer, R. Flyunt, K. Czihal, H.-J. Gläsel, E. Hartmann, R. Mehnert,
Nano/micro particle reinforced polyacrylates for flooring applications
RadTech Europe 2005, Barcelona, 18.-20.10. (2005) 407-414
E. Erdem, R. Böttcher, H.-J. Gläsel, E. Hartmann, G. Klotzsche, D. Michel,
Size effects in Ba(Pb)TiO3 nanopowders by EPR and NMR
Adv. Solid State Phys. 45 (2005) 351-361
A. Matthes, H.-J. Gläsel, E. Hartmann, E. Erdem, R. Böttcher,
Template route for preparing ferroelectric polymeric nanocomposites characterisation by means of EPR and standard techniques
CNT VI. - Processing and Functionalization, Frankfurt, 29.-30.09. (2005) 54
F. Bauer, R. Mehnert,
UV curable acrylate nanocomposites: Properties and applications
J. Polym. Res. 12 (2005) 483-491
A. Matthes, H.-J. Gläsel, E. Hartmann, E. Erdem, R. Böttcher, V. Brüser, H. Kersten,
Synthese ferroelektrischer polymerer Nanokomposite
13. NDVak: Beschichtung und Modifizierung von Kunststoffoberflächen, Dresden, 13.-14.10. (2005) 207-211
E. Erdem, R. Böttcher, H.-J. Gläsel, E.Hartmann,
Structural analysis of PbTiO3 nanoparticles by multi-frequency EPR
Magn. Reson. Chem. 43 (2005) 174-82
R. Böhme, K. Zimmer,
Laser Backside Etching of Transparent Material for the Fabrication of Diffractive and Refractive Topographic Features
Micro System Technologies 2005, München, 5.-6.10. (2005) 63-70
E. Eiper, K.J. Martinschitz, J.W. Gerlach, J.M. Lackner, I. Zizak, N. Darowski, J. Keckes,
X-ray elastic constants determined by the combination of sin^2(psi) and substrate-curvature methods
Z. Metallkd. 96 (2005) 9
Y. Bohne, N. Shevchenko, F. Prokert, J. von Borany, B. Rauschenbach and W. Möller,
In situ characterization of phase formation during high-energy oxygen ion implantation in molybdenum
Nucl. Instrum. Meth. B 240 (2005) 157-161
D. Manova, Y. Bohne, J.W. Gerlach, S. Mändl, H. Neumann, B. Rauschenbach,
Phase formation after nitrogen implantation into molybdenum
Nucl. Instrum. Meth. B 240 (2005) 208-213
B. Rauschenbach,
Low-energy ion bombardment induced nanostructures on surfaces
Proceed. 17th Intern. Conf. Ion-Surface Interactions, Moskau (2005) 42-47
D. Wang, L. Yang, U. Decker, M. Findeisen, M. R. Buchmeiser,
Polymerization of enantiomerically pure N-(Norborn-2-ene-5-carboxyl)-L-Phenylalanine Ethylester and N,N-(Norborn-2-ene-5,6-dicarbimido)-L-Valine Ethylester using Ruthenium 1,3-Dimesityl-3,4,5,6-tetrahydropyrimidin-2-ylidenes
Macromol. Rapid. Comm. 26 (2005) 1757-1762
T. Scherzer, S. Müller, R. Mehnert, A. Volland, H. Lucht,
Process Control in UV Curing with NIR Spectroscopy
Proc. RadTech Europe 2005 Conference, Barcelona, 18.-20.10. (2005)
Y. Zhang, D. Wang, K. Wurst, M. R. Buchmeiser,
Ring-opening polymerization of cyclohexene oxide by a novel dicationic palladium catalyst
Des. Monomers Polym. 8 (2005) 571-588
Y. Zhang, D. Wang, K. Wurst, M. R. Buchmeiser ,
Polymerization of Phenylacetylene by novel Rh (I) and Ir (I) 1,3-R2-3,4,5,6-tetrahydropyrimidin-2-ylidenes (R= Mesityl, 2-Propyl): Influence of structure on activity and polymer structure
J. Organomet. Chem. 690 (2005) 5728-5735
M. Tartz, J. H. Peters, H. Neumann,
Grid performance models using Design of Experiments (DoE) methods
Paper Nr. IEPC-05-139, 29. Int. Electric Propulsion Conf., Princeton, NJ, 31.10.-4.11. (2005)
B. Bantu, D. Wang, K. Wurst, M. R. Buchmeiser,
Copper (I) 1,3-R2-3,4,5,6-tetrahydropyrimidin-2-ylidenes (R=Mesityl, 2-Propyl): Synthesis, X-ray structures, immobilization and catalytic activity
Tetrahedron 61 (2005) 12145-12152
J.W. Gerlach, Y. Bohne, S. Mändl, B. Rauschenbach, W. Assmann, F. Grüner, M. Schubert,
Enrichment Effects During Ion Beam Sputter Deposition of Mg Alloys
Jahresbericht 2004, Beschleunigerlabor Garching (2005) 65
B. Ziberi, F. Frost, Th. Höche, B. Rauschenbach,
Ripple pattern formation on silicon surfaces by low-energy ion-beam erosion: Experiment and theory
Phys. Rev. B 72 (2005) 235310
F. Frost, H. Takino, R. Fechner, A. Schindler, N. Ohi, K. Nomura,
Ion beam polishing of diamond-turned metal surfaces
Autumn Conference of the Japanese Society for Precision Engineering 2005, Kyoto University, Japan, 15.-17.09. (2005) G05
M. Tartz, H. Neumann, H. Leiter, J. Esch,
Pyrolytic Graphite And Carbon-Carbon Sputter Behaviour Under Xenon Ion Incidence
Paper Nr. IEPC-05-143, 29. Int. Electric Propulsion Conf., Princeton, NJ, 31.10.-4.11. (2005)
H. Leiter, R. Killinger, E. Bonelli, S. Scaranzin, F. Scortecci, M. Capacci, M. Materassi, N. Kutufa, H. Neumann, M. Tartz, D. Feili,
The 'New Grid Systems for Ion Engines' Technology Project - Results and Conclusion
Paper Nr. IEPC-05-132, 29. Int. Electric Propulsion Conf., Princeton, NJ, 31.10.-4.11. (2005)
A. Matthes, H.-J. Gläsel, E. Hartmann, R. Böttcher, E. Erdem. V.Brüser, H. Kersten,
Synthesis of ferroelectric polymeric nanocomposites
4th. Int. Nanotechnol. Symp. Nanofair 2005, Dresden, 29.-30.11. (2005) 225-28
T. Scherzer, W. Knolle, S. Naumov, L. Prager,
Investigations on the photoinitiator-free photopolymerization of acrylates by vibrational spectroscopic methods
Macromol. Symp. 230 (2005) 173-182
N. Karakostas, S. Naumov, M. G. Siskos, A. K. Zarkadis, R. Hermann and O. Brede,
Free electron transfer from Xanthenyl- and Fluorenylsilanes (Me3 or Ph3) to parent solvent radical cations: Effects of molecule dynamics
J. Phys. Chem. A 109 (2005) 11679-11686
A. Schindler, B. Rauschenbach,
Ultrapräzisions-Formgebung und Glättung im Nanometerbereich
Industrie Management 21 (2005) 49-52
H. Kersten, R. Wiese, M. Hannemann, A. Kapitov, F. Scholze, H. Neumann, R. Hippler,
Plasma and ion beam characterization by non-conventional methods
Surf. Coat. Tech. 200 (2005) 809-813
M. Flury, N. Lyndin, S. Tonchev, R. Fechner, A. Schindler, O. Parriaux,
High top hat diffraction efficiency grating for femtosecond pulse compression
11th Microoptics Conference MOC 05, Tokio (2005) Postdeadline Paper PD8, 1
S. Thomas, N. Biswas, S. Venkateswaran, S. Kapoor, S. Naumov and T. Mukherjee,
Study on adsorption of 5-Amino tetrazole on silver nanoparticles by SERS and DFT Calculations
J. Phys. Chem. B 109 (2005) 9928-9934
M. R. Buchmeiser,
Kohlenstofftanz und Frequenzkamm
Nachr. Chem. 53 (2005) 1111-1113
M. Bortenschlager, M. Mayr, O. Nuyken, M. R. Buchmeiser,
Hydroformylation of 1-octene using rhodium-1,3-R2-3,4,5,6-tetrahydropyrimidin-2-ylidenes (R=2-Pr, mesityl)
J. Mol. Catal. A-Chem. 233 (2005) 67-71
2004
T. Scherzer, R. Mehnert, H. Lucht,
In-line monitoring of the acrylate conversion in UV photopolymerization by near-infrared reflection spectroscopy
Macromol. Symp. 205 (2004) 151-162
J. von Sonntag, E. Mvula, K. Hildenbrand, C. von Sonntag ,
Photohydroxylation of 1,4-benzoquinone in aqueous solution revisited
Chem. Eur. J. 10 (2004) 440-451
T. Scherzer,
Photopolymerization of acrylates without photoinitiators using short-wavelength UV radiation: A study by real-time FTIR spectroscopy
J. Polym. Sci.A, Polym. Chem. 42 (2004) 894-901
J. Keckes, S. Six, J.W. Gerlach, B. Rauschenbach,
Temperature dependence of in-plane stresses in sublayers of Al/AlN/Al2O3(0001) structure
J. Cryst. Growth 262 (2004) 119-123
F. Frost, B. Ziberi, T. Höche, B. Rauschenbach,
The shape and ordering of self-organized nanostructures by ion sputtering
Nucl. Instrum. Meth. B 216 (2004) 9-19
Th. G. Mayerhöfer, Th. Höche, and F. Schrempel,
Infrared optical properties of Li- and Xe- irradiated KTP
Appl. Phys. A 78 (2004) 589-596
S. Sienz, J.W. Gerlach, T. Höche, A. Sidorenko, T. G. Mayerhöfer, G. Benndorf, B. Rauschenbach,
Comparison of ion-beam-assisted molecular beam epitaxy with conventional molecular beam epitaxy of thin hexagonal gallium nitride films
J. Cryst. Growth 264/1-3 (2004) 184-191
R. Böhme, A. Braun, K. Zimmer,
Backside etching of UV-transparent materials at the interface to liquids
Appl. Surf. Sci. 186 (2004) 267-281
A. Kiesow, J. Meinhardt, A. Heilmann,
Coronabehandlung von Polymerfolien - Nachweismethoden, Einflussfaktoren und On line-Kontrolle
Coating 37 (2004) 34-37
P. Thomsen-Schmidt, K. Hasche, G. Ulm, K. Herrmann, M. Krumrey, G. Ade, J. Stümpel, I. Busch, S. Schädlich, A. Schindler, W. Frank, D. Hirsch, M. Procop, U. Beck,
Realization of thickness standards below 100 nm thickness
Appl. Phys. A 78 (2004) 645
F. Frost, R. Fechner, D. Flamm, B. Ziberi, W. Frank, A. Schindler,
Ion beam assisted smoothing of optical surfaces
Appl. Phys. A 78 (2004) 651
R. Böhme, D. Spemann, K. Zimmer,
Surface characterization of backside-etched transparent dielectrics
Thin Solid Films 453 (2004) 127
S. Mändl, D. Manova, J.W. Gerlach, W. Assmann, H. Neumann, B. Rauschenbach,
High Temperature Nitrogen PIII into Molybdenum
Surf. Coat. Tech. 180/181 (2004) 362-366
D. Manova, S. Mändl, B. Rauschenbach,
Evolution of Surface Morphology During Ion Nitriding of Aluminium
Surf. Coat. Tech. 180/181 (2004) 118-121
M. Schubert, A. Kasic, T. Hofmann, V. Gottschalch, J. Off, F. Scholz, E. Schubert, H. Neumann, I. Hodgkinson, M. Arnold, W. Dollase, C. M. Herzinger,
Generalized ellipsometry of complex mediums in layered systems
In Complex Mediums III: Beyond linear isotropic Dielectrics (A. Lakhtakia, G. Dewar and M. W. McCall, eds.), Proc SPIE 4806 2002 (2004) 264-276
S. Kapoor, S. Naumov,
On the origin of hydrogen in the formaldehyde reaction in alkaline solution
Chem. Phys. Lett. 387 (2004) 322-326
P. Tarabek, M. Bonifacic, S. Naumov, D. Beckert,
Time resolved FT EPR identification of (E) and (Z) conformational isomers of glycyl radicals formed upon photoinduced oxidation of glycine esters in aqueous solutions
J. Phys. Chem. A 108 (2004) 929-935
R. Mehnert, A. Prager-Duschke,
Das Vorimprägnat Teil IV: Ultrafin Carat - hohe Gebrauchsfestigkeit wissenschaftlich nachgewiesen
Material und Technik - Möbel 2 (2004) 18-19
J. von Sonntag,
Mikrofalten für Nanokompositlacke
Ingenieur Nachrichten 2 (2004) 5
T. Scherzer, R. Mehnert, H. Lucht,
Process control of UV and EB curing of acrylates by In-line NIR reflection spectroscopy
Proc. e|5 UV & EB Technology Expo & Conference, Charlotte / NC, USA, 2.-5.5. (2004)
O. Brede , R. Hermann , S. Naumov, A. K. Zarkadis, G. P. Perdikomatis and M. G. Siskos ,
Free electron transfer reflects rotational conformers of substituted aromatics: Reaction of benzyltrimethylsilanes with n-Butyl chloride parent radical cations
Phys. Chem. Chem. Phys. 6 (2004) 2267-2275
S. Naumov, I. Janovský, W. Knolle and R. Mehnert,
On the radiation-induced polymerisation of 2,3-Dihydropyran.
Macromol. Chem. Phys. 205 (2004) 1530-1535
R. Hermann, T. Leichtner, S. Naumov, O. Brede,
Femto- and nanosecond photoionization of sterically hindered phenols in non-protic solvents antithetical product formation
Femtochemistry and Femtobiology 1 (2004) 219-294
M. Tartz, H. Neumann, B. Fritsche, H.J. Leiter, J. Esch,
Investigation of sputter behaviour of ion thruster grid materials
Paper AIAA-2004-4114, 40. Joint Propulsion Conf., Ft. Lauderdale, 11.-14.7. (2004)
M. Tartz, E. Hartmann, H. Neumann,
Evolution of extraction grid erosion with operation time
Paper AIAA-2004-3787, 40. Joint Propulsion Conf., Ft. Lauderdale, 11.-14.7. (2004)
S. Naumov, I. Janovský, W. Knolle, R. Mehnert,
Transformations of 5-membered heterocyclic radical cations as studied by low-temperature EPR and quantum chemical methods
Phys. Chem. Chem. Phys. 6 (2004) 3933-3937
F. Frost, R. Fechner, B. Ziberi, D. Flamm, A. Schindler,
Large area smoothing of optical surfaces by low-energy ion beams
Thin Solid Films 459 (2004) 100-105
B. Ziberi, F. Frost, M. Tartz, H. Neumann, B. Rauschenbach,
Importance of ion beam parameters on self-organized pattern formation on semiconductor surfaces by ion beam erosion
Thin Solid Films 459 (2004) 106-110
Th. Arnold, S. Grabovsky, A. Schindler, H.-E. Wagner,
Spatially resolved emission spectroscopic investigation of microwave-induced reactive low-power plasma jets
Plasma Sources Sci. Technol. 13 (2004) 309-314
S. Sienz, J.W. Gerlach, T. Höche, A. Sidorenko, B. Rauschenbach,
Ion-beam-assisted molecular-beam epitaxy: a method to deposit gallium nitride films with high crystalline quality
Thin Solid Films 458(1-2) (2004) 63-66
R. Böhme, K. Zimmer,
Rapid Prototyping von diffraktiven und refraktiven mikrooptischen Elementen
Photonik 03 (2004) 58-61
G. Leibiger, V. Gottschalch , N. Razek , A. Schindler , M. Schubert,
Hydrogen implantation in InGaNAs studied by spectroscopic ellipsometry
Thin Solid Films 455-456 (2004) 231-234
D. Manova, H. Neumann, S. Mändl, B. Rauschenbach,
Three-Dimensional Effects for Two-Dimensional Samples in Plasma Immersion Ion Implantation
J. Appl. Phys. 96 (2004) 934-936
D. Manova, F. Scholze, S. Mändl, H. Neumann, B. Rauschenbach,
Absolute Dose Calibration in PIII
Surf. Coat. Tech. 186 (2004) 29-33
S. Mändl, D. Manova, B. Rauschenbach,
Transparent AlN Layers Formed by Metal Plasma Immersion Ion Implantation
Surf. Coat. Tech. 186 (2004) 82-87
S. Mändl, B. Rauschenbach,
Comparison of Expanded Austenite and Expanded Martensite Formed After Nitrogen PIII
Surf. Coat. Tech. 186 (2004) 277-281
J.W. Gerlach, T. Höche, F. Frost, B. Rauschenbach,
Ion beam assisted MBE of GaN on epitaxial TiN films
Thin Solid Films 459 (2004) 13-16
T. Höche, R. Böhme, J. W. Gerlach, F. Frost, K. Zimmer, B. Rauschenbach,
Semiconductor Nanowires Prepared by Diffraction-Mask-Projection Excimer-Laser Patterning
Nano Letters 4 (2004) 895-897
Th. Höche, D. Ruthe, and T. Petsch,
Femtosecond-Laser Interaction with Mo/Si Multilayer Stack at Low Fluence
Appl. Phys. A 79 (2004) 961-963
R.L. Withers, Th. Höche, Y. Liu, R. Keding,
Structural Studies on the Fresnoite type Compound Rb2V5+V4+2O8
J. Solid State Chem. 177 (2004) 3316-3323
K. Zimmer, R. Böhme, B. Rauschenbach,
Laser etching of fused silica using an adsorbed toluene layer
Appl. Phys. A 79 (2004) 1883-1885
R. Fechner, A. Schindler, D. Hirsch, T. Gase, R. Weigelt, M. Helgert,
Fabrication of masters for an embossing Technology of diffractive optics and of sub-wavelength structures by RIBE proportional transfer
10th Microoptics Conference MOC 04, Jena, 1.-3. 09. (2004) F-16
S. Stojanovic, F. Bauer, H.-J. Gläsel, R. Mehnert,
Scratch and Abrasion Resistant Polymeric Nanocomposites - Preparation, Characterisation and Applications
Mater. Sci. Forum 453-454 (2004) 473-479
A. Schindler, T. Hänsel, F. Frost, A. Nickel, R. Fechner, B. Rauschenbach, ,
Recent achievements on ion beam techniques for microoptics fabrication
10th Microoptics Conference MOC 04, Jena, 1.-3.09. (2004) K-7
J. Dienelt, J. von Sonntag, K. Zimmer, B. Rauschenbach,
Structuring of GaAs. I. Chemical dry etching: Temperature und chlorine pressure dependence of etch rates
J. Vac. Sci. Technol. B 22(4) (2004) 1964-1969
F. Bauer, H.-J. Gläsel, E. Hartmann, H. Langguth, R. Hinterwaldner,
Functionalized inorganic/organic nanocomposites as new basic raw materials for adhesives and seallants
Int. J. Adhes. Adhes. 24 (2004) 519-522
F. Bauer, H. Ernst, E. Bilz, A. Freyer,
C-14 Tracer studies in xylene isomerization
16. Deutsche Zeolith-Tagung, Dresden, 03.-05.03. (2004) D 14
F. Bauer, E. Bilz, A. Freyer,
Selectivity improvement in xylene isomerization
14 th International Zeolite Conference, Cape Town, 25.-30.04. (2004) 772-773
W. H. Chen, F. Bauer, E. Bilz, A. Freyer, S. J. Huang, C. S. Lai, S. B. Liu,
Acidity characterization of H-ZSM-5 catalysts modified by pre-coking and silylation
14 th International Zeolite Conference, Cape Town, 25.-30.04. (2004) 802-803
F. Bauer, W. H. Chen, H. Ernst, S. J. Huang, A. Freyer, S. B. Liu,
Selectivity improvement in xylene isomerization
Micropos. Mesopor. Mat. 72 (2004) 81-89
F. Bauer, H. Ernst, D. Hirsch, S. Naumov, M. Pelzing, V. Sauerland, R. Mehnert ,
Preparation of scratch and abrasion resistant polymeric nanocomposites by monomer grafting onto nanoparticles. Part V. Application of mass spectroscopy and atomic force microscopy to the characterization of silane-modified silica surface
Macromol. Chem. Phys. 205 (2004) 1587-1593
F. Bauer, H. Ernst, E. Bilz, A. Freyer,
C-14 Toluene tracer studies in xylene isomerization
Isotopcat, Poitiers, 07.-09.07. (2004) 21-23
F. Bauer, U. Decker, H.-J. Gläsel, M. Pelzing, V. Sauerland, R. Mehnert,
UV curable acrylate nanocomposites and their application as scratch resistant coatings
Parquet Coatings III, Berlin, 25.-26.11. (2004) 165-179
R. Joshi, S. Naumov, S. Kapuur, T. Mukherjee, R. Hermann, O. Brede,
Phenol radical cations and phenoxyl radicals in the electron transfer from the natural phenols seamol, curcumin and trolox to the parent radical cations of 1-chlorobutane
J. Phys. Org. Chem. 17 (2004) 665-674
O. Kahle, H.-J. Gläsel, Ch. Uhlig, E. Hartmann, M. Bauer,
Bruchmechanische und Nano-TGA/TMA-Charakterisierung von Acrylat-Nanokompositen
Paper Nr. S4-6, Materials week, München, 21.-24.09.2004 (2004)
H.-J. Gläsel, A. Weller-Matthes, E. Hartmann, E. Erdem, R. Böttcher, J. Banys,
Synthesis of ferroelectric nanopowders and radiation cured composites
Paper Nr. PD7, Int. Nanotechnol. Symp. - Nanofair 2004, Karlsruhe, 22.-24. 11 (2004)
H.-J. Gläsel, S. Rummel, E. Hartmann, F. Bauer, R. Mehnert, W. Böhlmann,
Radiation cured protective nanocomposite coatings
Paper Nr. PF9, Int. Nanotechnol. Symp., Karlsruhe, 22.-24.11. (2004)
H.-J. Gläsel, S. Rummel, E. Hartmann, R. Mehnert, W. Böhlmann,
Strahlengehärtete Nanokomposit-Schutzbeschichtungen
Polymerwerkstoffe - P2004, Halle, 29.09.-01.10. (2004) 473
R. Mehnert, H. Krannich,
UV-curable acrylate nanocomposites for functional coatings: Properties and applications
NanoS 1 (2004) 24-28
I. Janovský, W. Knolle, S. Naumov, F. Williams,
EPR Studies of Amine Radical Cations, Part 1: Thermal and Photoinduced Rearrangements of n-Alkylamine Radical Cations to their Distonic Forms in Low-Temperature Freon Matrices
Chem. Eur. J. 10 (2004) 5524-5534
W. Knolle, T. Scherzer, S. Naumov, M. Madani,
Primary processes after 222 nm excitation of acrylates
Coating 37 (2004) 416-420
N. Bogdanski, H. Schulz, M. Wissen, H.-C. Scheer, J. Zajadacz, K. Zimmer,
3D-Hot embossing of undercut structures - an approach to micro-zippers
Microelectron. Eng. 73-74 (2004) 190-195
R. Böhme, K. Zimmer,
Direct laser etching of optical glasses for flexible fabrication of micro-optical structures
Proc. OPTO Kongress, Nürnberg (2004) 25-31
D. Manova, W. Attenberger, S. Mändl, B. Stritzker, B. Rauschenbach,
Evolution of Local Texture and Grain Morphology in Metal Plasma Immersion Ion Implantation and Deposition
J. Vac. Sci. Technol. A 22 (2004) 2299-2305
Y. Bohne, N. Shevchenko, F. Prokert, J. von Borany, B. Rauschenbach, W. Möller,
In situ X-ray diffraction investigations during high-energy oxygen ion implantation in tramsition metals
Vacuum 76 (2004) 281-285
P. van Aken, Th. Höche, F. Heyroth, R. Keding, R. Uecker,
Insights into oxygen-cation bonding in fresnoite-type structures from O K- and Ti L23-electron energy-loss spectra and ab initio calculations of the electronic structure
Phys. Chem. Miner. 31 (2004) 543-552
Th. Höche, P. van Aken, M. Grodzicki, F. Heyroth, R. Keding, R. Uecker,
Electron Energy Core-Loss Spectra of Incommensurately Modulated Crystalline and Glassy Ba2TiGe2O8
Philos. Mag. 84 (2004) 3117-3132
K. Zimmer, R. Böhme,
Laser Etching of Fused Silica for Diffractive and Refractive Optics
Proc. MOC´04, Jena (2004) 9
R. Brunner, R. Steiner, K. Rudolf, H.-J. Dobschal, R. Fechner, A. Schindler,
Deep-UV microscopy based on a hybrid diffractive/refactive lens system
Conference Diffractive Optics and Micro-Optics, Optical Society of America - OSA, Rochester, 10. - 13. 10. (2004) DSuC3
A. Schindler, T. Hänsel, F. Frost, A. Nickel, R. Fechner, B. Rauschenbach,
Recent achievements on ion beam techniques for optic fabrication
Conference on Optical Fabrication and Testing, Optical Society of America - OSA, Rochester, 10. - 13. 10. (2004) OMD3
W. Bondzio, J. Meinhardt, R. Mehnert, P. Köhler,
Metallische und nichtmetallische dünne Schichten für dreidimensionale Elektrodensysteme auf Polyimid
12. Neues Dresdner Vakuumtechnisches Kolloquium, Dresden, 14.-15.10. (2004) 43-48
S. Naumov, S. Kapoor, S. Thomas, S. Venkateswaran, T. Mukherjee,
SERS of benzotriazole on Ag colloid: surface structure characterization using the DFT approach
J. Mol. Struct. 685 (2004) 127-131
C. von Sonntag,
The scientific oeuvre of Christiane Ferradini (1924 - 2002): a brief introduction
Radiat. Phys. Chem. 72 (2004) 57-58
C. von Sonntag, A. Kolch, J. Gebel, K. Oguma, R. Sommer,
The photochemical basis of UV disinfection
Paper Nr. 6.1, European Conference on UV Radiation, Effects and Technologies, Karlsruhe, edited by W. Heering, O. Hoyer, D. Maier, R. Jockenhöfer, M. Maier, IUVA, Ayr, ON Canada, (2004) 1-17
O. Brede, R. Hermann, N. Karakostas, S. Naumov,
Ionization of the three isomeric hydroxybenzoates by free electron transfer: product distribution depends on the mobility of the phenoxyl group
Phys. Chem. Chem. Phys. 6 (2004) 5184-5188
H.-J. Gläsel, S. Rummel, E. Hartmann, F. Bauer, R. Mehnert, W. Böhlmann,
Nanokomposit-Beschichtungen mit verbesserten oberflächenmechanischen Eigenschaften
Paper Nr. PB10, Innovationsforum Spezialchemikaliensynthese für HighTech-Produkte, Wolfen, 25./26.11. (2004) 27
R. Böhme, K. Zimmer,
Low roughness etching of fused silica using an adsorbed layer
Appl. Surf. Sci. 239 (2004) 109-116
T. Hänsel, A. Nickel, A. Schindler, H.-J. Thomas,
Ion beam figuring surface finishing of x-ray and synchrotron beam line optics using stitching interferometry for the surface topology measurement
Conference on Optical Fabrication and Testing, Optical Society of America - OSA , Rochester, 10.-13.10. 2004 (2004)
K. Schwartz, C. Trautmann, A.S. El-Said, R. Neumann, M. Toulemonde, W. Knolle,
Color-center creation in LiF under irradiation with swift heavy ions: Dependence on energy loss and fluence
Phys. Rev. B 70 (2004) 184104-1-8
K. Zimmer, R. Böhme,
Precise etching of fused silica for micro optical applications
Appl. Surf. Sci. 243 (2004) 417-422
E. Reisz, C. von Sonntag, C. M. Davidescu,
Oxidation of manganese (II) by ozone in neutral aqueous media in the presence of polyphosphate: Thermodynamic and kinetic aspects
Chem. Bull., POLITEHNICA, Univ. Timisoara 49 (2004) 61-66
E. Reisz, C. von Sonntag, C. M. Davidescu,
Oxidation of manganese (II) by ozone in strongly acidic media (pH=0): Thermodynamic and kinetic aspects
Chem. Bull., POLITEHNICA, Univ. Timisoara 49 (2004) 55-60
R. Günther, Ch. Elsner, H.-J. Hofmann, F. Bordusa,
On the rational design of substrate mimetic: The function of docking approaches for the prediction of protease specificities
Org. Biomol. Chem. 2 (2004) 1442-1446
F. Bauer, E. Bilz, A. Freyer,
Selectivity improvement in xylene isomerization
Stud. Surf. Sci. Catal. 154 (2004) 2169-2178
W. H. Chen, F. Bauer, E. Bilz, A. Freyer, S. J. Huang, C. S. Lai, S. B. Liu,
Acidity characterization of H-ZSM-5 catalysts modified by pre-coking and silylation
Studies in Surface Science and Catalysis 154 (2004) 2269-2274
